spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait Area extends Nameable with ContextUser with OwnableRef with ScalaLocated

  2. class AssertNode extends SyncNode

  3. trait AssertNodeSeverity extends AnyRef

  4. trait Assignable extends AnyRef

  5. class AssignedBits extends AnyRef

  6. class AssignedRange extends AnyRef

  7. class AssignementLevel extends AnyRef

  8. case class AssignementLevelCmd(that: Node, by: Node) extends Product with Serializable

  9. trait AssignementLevelNode extends AnyRef

  10. case class AssignementLevelSimple(that: Node, by: Node) extends AssignementLevelNode with Product with Serializable

  11. class AssignementLevelSwitch extends AssignementLevelNode

  12. class AssignementLevelWhen extends AssignementLevelNode

  13. abstract class AssignementNode extends Node

  14. abstract class AssignementNodeWidthable extends AssignementNode with Widthable

  15. trait AssignementTreePart extends AnyRef

  16. trait Attribute extends SpinalTag

  17. class AttributeFlag extends Attribute

  18. trait AttributeKind extends AnyRef

    Created by PIC18F on 09.02.2015.

  19. class AttributeString extends Attribute

  20. abstract class BaseType extends Node with Data with Nameable with AssignementTreePart

    Abstract base class of all Spinal types

  21. trait BaseTypeCast extends SFixCast with UFixCast

  22. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

    Base type factory

  23. implicit class BigDecimalBuilder extends AnyRef

  24. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  25. abstract class BinaryOperator extends Operator

  26. abstract class BinaryOperatorWidthableInputs extends BinaryOperator

  27. class BitAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  28. class BitAssignmentFloating extends AssignementNodeWidthable

  29. case class BitCount(value: Int) extends Product with Serializable

  30. abstract class BitVector extends BaseType with Widthable with CheckWidth

    BitVector is a family of types for storing multiple bits of information in a single value.

    BitVector is a family of types for storing multiple bits of information in a single value. This type has three subtypes that can be used to model different behaviours:

    • Bits
    • UInt (unsigned integer)
    • SInt (signed integer)
    See also

    BitVector Documentation

  31. abstract class BitVectorLiteral extends Node with Literal with Widthable

  32. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  33. class Bits extends BitVector with DataPrimitives[Bits] with BitwiseOp[Bits]

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    Example:
    1. val myBits1 = Bits(32 bits)
      val myBits2 = B(25, 8 bits)
      val myBits3 = B"8'xFF"
      val myBits4 = B"1001_0011
    See also

    Bits Documentation

  34. class BitsAllToLiteral extends Node with Literal with Widthable

  35. trait BitsFactory extends AnyRef

    Bits factory used for instance by the IODirection to create a in/out Bits

  36. class BitsLiteral extends BitVectorLiteral

  37. trait BitwiseOp[T <: Data] extends AnyRef

    Bitwise Operation

    Bitwise Operation

    T

    the type which is associated with the bitwise operation

  38. abstract class BlackBox extends Component with SpinalTagReady

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    Example:
    1.  class Ram_1w_1r(wordWidth: Int, wordCount: Int) extends BlackBox {
           val generic = new Generic {
               val wordCount = Ram_1w_1r.this.wordCount
               val wordWidth = Ram_1w_1r.this.wordWidth
           }
           val io = new Bundle {
               val clk = in Bool
               val wr = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = in Bits (wordWidth bit)
               }
               val rd = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = out Bits (wordWidth bit)
               }
           }
           mapClockDomain(clock=io.clk)
      }
  39. abstract class BlackBoxULogic extends BlackBox

    Create a blackBox with std_ulogic instead of std_logic

  40. class Bool extends BaseType with DataPrimitives[Bool] with BitwiseOp[Bool]

    The Bool type corresponds to a boolean value (True or False)

    The Bool type corresponds to a boolean value (True or False)

    Example:
    1. val myBool = Bool()
      myBool := False
      myBool := Bool(false)
    See also

    Bool Documentation

  41. trait BoolFactory extends AnyRef

    Bool factory used for instance by the IODirection to create a in/out Bool

  42. class BoolLiteral extends Node with Literal

  43. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  44. class BundleCase extends Bundle

  45. class CaseContext extends ConditionalContext

  46. abstract class Cast extends Modifier

  47. abstract class CastBitVectorToBitVector extends Cast with Widthable

  48. class CastBitsToEnum extends Cast with InferableEnumEncodingImpl with CheckWidth

  49. class CastBitsToSInt extends CastBitVectorToBitVector

  50. class CastBitsToUInt extends CastBitVectorToBitVector

  51. class CastBoolToBits extends Cast with Widthable

  52. class CastEnumToBits extends Cast with Widthable

  53. class CastEnumToEnum extends Cast with InferableEnumEncodingImpl

  54. class CastSIntToBits extends CastBitVectorToBitVector

  55. class CastSIntToUInt extends CastBitVectorToBitVector

  56. class CastUIntToBits extends CastBitVectorToBitVector

  57. class CastUIntToSInt extends CastBitVectorToBitVector

  58. trait CheckWidth extends AnyRef

  59. class ClockDomain extends AnyRef

  60. trait ClockDomainBoolTag extends SpinalTag

  61. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: Polarity = HIGH, softResetActiveLevel: Polarity = HIGH, clockEnableActiveLevel: Polarity = HIGH) extends Product with Serializable

  62. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  63. class ClockEnableArea extends Area with DelayedInit

  64. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  65. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  66. class ClockingArea extends Area with DelayedInit

  67. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit with Stackable with OwnableRef

    Abstract class used to create a new Component

    Abstract class used to create a new Component

    Example:
    1. class MyAndGate extends Component {
        val io = new Bundle{
          val a,b = in Bool
          val res = out Bool
        }
        io.res := io.a & io.b
      }
    See also

    Component Documentation

  68. class ComponentBuilder extends AnyRef

  69. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  70. abstract class ConstantOperator extends Operator

  71. abstract class ConstantOperatorWidthableInputs extends ConstantOperator

  72. trait ContextUser extends GlobalDataUser

  73. case class CyclesCount(value: BigInt) extends Product with Serializable

  74. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef

  75. class DataPimper[T <: Data] extends DataPrimitives[T]

  76. trait DataPrimitives[T <: Data] extends AnyRef

  77. trait DataWrapper extends Data

  78. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

  79. class DontCareNode extends NodeWithoutInputs

  80. class DontCareNodeEnum extends DontCareNode with InferableEnumEncodingImpl

  81. class DontCareNodeFixed extends DontCareNode with Widthable

  82. class DontCareNodeInfered extends DontCareNode with Widthable

  83. case class DoubleBuilder(d: Double) extends Product with Serializable

  84. trait DummyTrait extends AnyRef

  85. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

  86. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  87. trait EnumEncoded extends AnyRef

  88. class EnumLiteral[T <: SpinalEnum] extends Node with Literal with InferableEnumEncodingImpl

    Node representation which contains the value of an SpinalEnumElement

  89. case class ExpNumber(value: Int) extends Product with Serializable

  90. abstract class Extract extends Modifier

  91. abstract class ExtractBitsVectorFixed extends Extract with WidthProvider with CheckWidth

  92. class ExtractBitsVectorFixedFromBits extends ExtractBitsVectorFixed

  93. class ExtractBitsVectorFixedFromSInt extends ExtractBitsVectorFixed

  94. class ExtractBitsVectorFixedFromUInt extends ExtractBitsVectorFixed

  95. abstract class ExtractBitsVectorFloating extends Extract with WidthProvider

  96. class ExtractBitsVectorFloatingFromBits extends ExtractBitsVectorFloating

  97. class ExtractBitsVectorFloatingFromSInt extends ExtractBitsVectorFloating

  98. class ExtractBitsVectorFloatingFromUInt extends ExtractBitsVectorFloating

  99. abstract class ExtractBoolFixed extends Extract with CheckWidth

  100. class ExtractBoolFixedFromBits extends ExtractBoolFixed

  101. class ExtractBoolFixedFromSInt extends ExtractBoolFixed

  102. class ExtractBoolFixedFromUInt extends ExtractBoolFixed

  103. abstract class ExtractBoolFloating extends Extract

  104. class ExtractBoolFloatingFromBits extends ExtractBoolFloating

  105. class ExtractBoolFloatingFromSInt extends ExtractBoolFloating

  106. class ExtractBoolFloatingFromUInt extends ExtractBoolFloating

  107. case class FixedFrequency(value: HertzNumber) extends IClockDomainFrequency with Product with Serializable

  108. class Generic extends AnyRef

    Create a generic for a BlackBox

    Create a generic for a BlackBox

    Example:
    1. class myMemory(sizeMem: Int) extends BlackBox{
          val generic = new Generic{
           val size = sizeMem
          }
          val io = new Bundle { ... }
      }
  109. class GlobalData extends AnyRef

  110. trait GlobalDataUser extends AnyRef

  111. class HardType[T <: Data] extends AnyRef

  112. case class HertzNumber(v: BigDecimal) extends PhysicalNumber[HertzNumber] with Product with Serializable

  113. trait IClockDomainFrequency extends AnyRef

  114. trait IODirection extends BaseTypeFactory

  115. abstract class ImplicitArea[T] extends Area

  116. trait InferableEnumEncoding extends AnyRef

  117. trait InferableEnumEncodingImpl extends EnumEncoded with InferableEnumEncoding with ContextUser with ScalaLocated

  118. trait InferableEnumEncodingImplChoice extends AnyRef

  119. final class IntBuilder extends AnyVal

  120. trait Literal extends Node

  121. implicit class LiteralBuilder extends AnyRef

  122. class MaskedLiteral extends AnyRef

  123. class Mem[T <: Data] extends NodeWithVariableInputsCount with Nameable with Widthable

  124. trait MemBitsMaskKind extends AnyRef

  125. trait MemBlackboxingPolicy extends AnyRef

  126. class MemReadAsync extends Node with Widthable with CheckWidth with Nameable

  127. class MemReadSync extends SyncNode with Widthable with CheckWidth with Nameable

  128. class MemReadWrite_readPart extends SyncNode with Widthable with CheckWidth with Nameable

  129. class MemReadWrite_writePart extends SyncNode with Widthable with CheckWidth with Nameable

  130. trait MemTechnologyKind extends AnyRef

  131. class MemTopology extends AnyRef

  132. class MemWrite extends SyncNode with Widthable with CheckWidth with Nameable

  133. case class MemWriteOrReadSync(write: MemReadWrite_writePart, read: MemReadWrite_readPart) extends Product with Serializable

  134. class MemWritePayload[T <: Data] extends Bundle

  135. trait MinMaxProvider extends AnyRef

  136. abstract class Modifier extends Node

  137. abstract class MultiData extends Data

  138. class MultipleAssignmentNode extends Node with AssignementTreePart

  139. class MultipleAssignmentNodeEnum extends MultipleAssignmentNode with InferableEnumEncodingImpl

  140. class MultipleAssignmentNodeWidthable extends MultipleAssignmentNode with Widthable with CheckWidth

  141. abstract class MultiplexedWidthable extends Multiplexer with Widthable with CheckWidth

  142. abstract class Multiplexer extends Modifier

  143. class MultiplexerBits extends MultiplexedWidthable

  144. class MultiplexerBool extends Multiplexer

  145. class MultiplexerEnum extends Multiplexer with InferableEnumEncodingImpl

  146. class MultiplexerSInt extends MultiplexedWidthable

  147. class MultiplexerUInt extends MultiplexedWidthable

  148. trait Nameable extends OwnableRef

  149. trait NameableByComponent extends Nameable with GlobalDataUser

  150. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  151. abstract class NodeWithVariableInputsCount extends Node

  152. abstract class NodeWithoutInputs extends Node

  153. class NoneNode extends NodeWithoutInputs

  154. trait Num[T <: Data] extends AnyRef

    Base operations for numbers

    Base operations for numbers

    T

    the type which is associated with the base operation

  155. abstract class Operator extends Modifier

  156. trait OverridedEqualsHashCode extends AnyRef

  157. trait OwnableRef extends AnyRef

  158. trait Phase extends AnyRef

  159. class PhaseAddInOutBinding extends PhaseNetlist

  160. class PhaseAddNodesIntoComponent extends PhaseMisc

  161. class PhaseAllocateNames extends PhaseMisc

  162. class PhaseAllowNodesToReadInputOfKindComponent extends PhaseNetlist

  163. class PhaseAllowNodesToReadOutputs extends PhaseNetlist

  164. class PhaseApplyIoDefault extends PhaseNetlist

  165. trait PhaseCheck extends Phase

  166. class PhaseCheckCombinationalLoops extends PhaseCheck

  167. class PhaseCheckCrossClockDomains extends PhaseCheck

  168. class PhaseCheckInferredWidth extends PhaseCheck

  169. class PhaseCheckMisc extends PhaseCheck

  170. class PhaseCheck_noAsyncNodeWithIncompleteAssignment extends PhaseCheck

  171. class PhaseCheck_noNull_noCrossHierarchy_noInputRegister_noDirectionLessIo extends PhaseCheck

  172. class PhaseCollectAndNameEnum extends PhaseMisc

  173. class PhaseCompletSwitchCases extends PhaseNetlist

  174. class PhaseContext extends AnyRef

    Created by PIC32F_USER on 05/06/2016.

  175. class PhaseCreateComponent extends PhaseNetlist

  176. class PhaseDeleteUselessBaseTypes extends PhaseNetlist

  177. class PhaseDontSymplifyBasetypeWithComplexAssignement extends PhaseMisc

  178. class PhaseDontSymplifySomeNodesVerilog extends PhaseMisc

  179. class PhaseDummy extends PhaseMisc

  180. class PhaseInferEnumEncodings extends PhaseMisc

  181. class PhaseInferWidth extends PhaseMisc

  182. class PhaseKeepAll extends PhaseMisc

  183. class PhaseMemBlackBoxingDefault extends PhaseMemBlackBoxingWithPolicy

  184. abstract class PhaseMemBlackBoxingWithPolicy extends PhaseMemBlackboxing

  185. trait PhaseMemBlackboxing extends PhaseNetlist

  186. trait PhaseMisc extends Phase

  187. class PhaseMoveLogicTags extends PhaseMisc

  188. class PhaseNameBinding extends PhaseMisc

  189. class PhaseNameNodesByReflection extends PhaseMisc

  190. trait PhaseNetlist extends Phase

  191. class PhaseNodesBlackBoxGenerics extends PhaseMisc

  192. class PhaseNormalizeNodeInputs extends PhaseNetlist

  193. class PhaseOrderComponentsNodes extends PhaseMisc

  194. class PhasePreInferationChecks extends PhaseMisc

  195. class PhasePrintStates extends PhaseMisc

  196. class PhasePrintUnUsedSignals extends PhaseCheck

  197. class PhasePropagateBaseTypeWidth extends PhaseMisc

  198. class PhasePullClockDomains extends PhaseNetlist

  199. class PhaseRemoveComponentThatNeedNoHdlEmit extends PhaseNetlist

  200. class PhaseResizeLiteralSimplify extends PhaseNetlist

  201. class PhaseSimplifyBlacBoxGenerics extends PhaseNetlist

  202. class PhaseSimplifyNodes extends PhaseNetlist

  203. class PhaseVerilog extends PhaseMisc with VerilogBase

    Created by PIC32F_USER on 05/06/2016.

  204. class PhaseVhdl extends PhaseMisc with VhdlBase

    Created by PIC32F_USER on 05/06/2016.

  205. abstract class PhysicalNumber[T <: PhysicalNumber[_]] extends AnyRef

  206. trait Polarity extends AnyRef

  207. case class PosCount(value: Int) extends Product with Serializable

  208. class RInt extends MultiData with Num[RInt]

  209. class Ram_1w_1ra extends BlackBox

  210. class Ram_1w_1rs extends BlackBox

  211. class Ram_1wors extends BlackBox

  212. class Ram_1wrs extends BlackBox

  213. class Ram_2c_1w_1rs extends BlackBox

  214. class Ram_2wrs extends BlackBox

  215. implicit class RangePimper extends AnyRef

  216. class RangedAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  217. class RangedAssignmentFloating extends AssignementNodeWidthable with CheckWidth

  218. trait ReadUnderWritePolicy extends AnyRef

    Created by PIC18F on 02.02.2015.

  219. class Reg extends SyncNode with Assignable with AssignementTreePart

  220. class RegEnum extends Reg with InferableEnumEncodingImpl

  221. class RegWidthable extends Reg with Widthable with CheckWidth

  222. class ResetArea extends Area with DelayedInit

  223. trait ResetKind extends AnyRef

  224. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  225. abstract class Resize extends Modifier with Widthable

  226. class ResizeBits extends Resize

  227. class ResizeSInt extends Resize

  228. class ResizeUInt extends Resize

  229. class SFix extends XFix[SFix, SInt]

  230. class SFix2D extends Bundle

  231. trait SFixCast extends AnyRef

  232. trait SFixFactory extends TypeFactory

    Created by PIC on 19.05.2015.

  233. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BitwiseOp[SInt]

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    Example:
    1. val mySInt = SInt(8 bits)
      mySInt    := S(4, 8 bits) + S"0000_1111"
      mySInt    := S(4) - S"h1A"
    See also

    SInt Documentation

  234. trait SIntFactory extends AnyRef

    SInt factory used for instance by the IODirection to create a in/out SInt

  235. class SIntLiteral extends BitVectorLiteral

  236. implicit class SIntPimper extends AnyRef

  237. class SafeStack[T] extends AnyRef

  238. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  239. trait ScalaLocated extends GlobalDataUser

  240. class Scope extends AnyRef

  241. case class SlicesCount(value: Int) extends Product with Serializable

  242. class SlowArea extends ClockingArea

  243. case class SpinalConfig(mode: SpinalMode = null, debug: Boolean = false, keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", netlistFileName: String = null, dumpWave: DumpWaveConfig = null, globalPrefix: String = "", device: Device = Device(), genVhdlPkg: Boolean = true, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ...) extends Product with Serializable

  244. class SpinalEnum extends Nameable with ScalaLocated

    Base class for creating enumeration

    Base class for creating enumeration

    Example:
    1. class MyEnum extends SpinalEnum(binarySequancial){
        val s1, s2, s3, s4 = newElement()
      }

      SpinalEnum contains a list of SpinalEnumElement that is the definition of an element. SpinalEnumCraft is the hardware representation of the the element.

    See also

    Enumeration Documentation

  245. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

    Hardware representation of an enumeration

  246. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

    Definition of an element of the enumeration

  247. trait SpinalEnumEncoding extends Nameable with ScalaLocated

    Trait to define an encoding

  248. class SpinalExit extends Exception

  249. trait SpinalMode extends AnyRef

  250. class SpinalReport[T <: Component] extends AnyRef

  251. trait SpinalTag extends AnyRef

  252. trait SpinalTagReady extends AnyRef

  253. trait Stackable extends AnyRef

  254. class SwitchContext extends ConditionalContext

  255. class SwitchStack extends AnyRef

  256. case class SwitchTreeCase(const: Node, doThat: AssignementLevel) extends Product with Serializable

  257. case class SwitchTreeDefault(doThat: AssignementLevel) extends Product with Serializable

  258. abstract class SyncNode extends Node

  259. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  260. case class TimeNumber(v: BigDecimal) extends PhysicalNumber[TimeNumber] with Product with Serializable

  261. trait TypeFactory extends AnyRef

  262. class UFix extends XFix[UFix, UInt]

  263. class UFix2D extends Bundle

  264. trait UFixCast extends AnyRef

  265. trait UFixFactory extends TypeFactory

  266. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BitwiseOp[UInt]

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    Example:
    1. val myUInt = UInt(8 bits)
       myUInt := U(2,8 bits)
       myUInt := U(2)
       myUInt := U"0000_0101"
       myUInt := U"h1A"
    See also

    UInt Documentation

  267. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

    Define an UInt 2D point

    Define an UInt 2D point

    xBitCount

    width of the x point

    yBitCount

    width of the y point

    Example:
    1. val positionOnScreen = Reg(UInt2D(log2Up(p.screenResX) bits, log2Up(p.screenResY) bits))
  268. trait UIntFactory extends AnyRef

    UInt factory used for instance by the IODirection to create a in/out UInt

  269. class UIntLiteral extends BitVectorLiteral

  270. implicit class UIntPimper extends AnyRef

  271. abstract class UnaryOperator extends Operator

  272. abstract class UnaryOperatorWidthableInputs extends UnaryOperator

  273. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  274. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  275. class VecAccessAssign[T <: BaseType] extends Assignable

  276. trait VecFactory extends AnyRef

  277. trait VerilogBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  278. trait VhdlBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  279. class VhdlTestBenchBackend extends VhdlBase with PhaseMisc

  280. trait VhdlVerilogBase extends AnyRef

  281. class WhenContext extends ConditionalContext with ScalaLocated

  282. class WhenNode extends Node with AssignementTreePart

  283. class WhenNodeEnum extends WhenNode with InferableEnumEncodingImpl

  284. class WhenNodeWidthable extends WhenNode with Widthable with CheckWidth

  285. trait WidthProvider extends AnyRef

  286. trait Widthable extends WidthProvider with GlobalDataUser with ScalaLocated

  287. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  288. class dontName extends Annotation with Annotation with ClassfileAnnotation

Value Members

  1. object ASYNC extends ResetKind

  2. object AllowMixedWidth extends SpinalTag

  3. object AnnotationUtils

  4. object ArrayManager

  5. object AssertNode

  6. object AssignedBits

  7. object AssignedRange

  8. object AssignementTree

  9. object B extends BitVectorLiteralFactory[Bits]

  10. object BOOT extends ResetKind

  11. object BaseType

  12. implicit def BigIntToBits(that: BigInt): Bits

  13. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  14. implicit def BigIntToSInt(that: BigInt): SInt

  15. implicit def BigIntToUInt(that: BigInt): UInt

  16. def Bits(width: BitCount): Bits

    Create a new Bits of a given width

    Create a new Bits of a given width

    Definition Classes
    BitsFactory
  17. def Bits(): Bits

    Create a new Bits

    Create a new Bits

    Definition Classes
    BitsFactory
  18. object BitsLiteral

  19. def Bool(value: Boolean): Bool

    Create a new Bool initialized with a boolean value

    Create a new Bool initialized with a boolean value

    Definition Classes
    BoolFactory
  20. def Bool(): Bool

    Create a new Bool

    Create a new Bool

    Definition Classes
    BoolFactory
  21. object BoolLiteral

  22. object Bundle

    Created by PIC18F on 08.01.2015.

  23. object COMMENT_ATTRIBUTE extends AttributeKind

  24. object Cat

  25. object CheckWidth

  26. object ClockDomain

  27. object Component

    Component companion

  28. object Context

    Created by PIC18F on 21.08.2014.

  29. object CyclesCount extends Serializable

  30. object DEFAULT_ATTRIBUTE extends AttributeKind

  31. object Data

  32. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  33. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  34. object Driver

    Created by PIC18F on 07.01.2015.

  35. object ERROR extends AssertNodeSeverity

  36. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

  37. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

  38. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  39. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

  40. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

  41. object FAILURE extends AssertNodeSeverity

  42. object FALLING extends EdgeKind

  43. def False: Bool

  44. object GlobalData

  45. object HIGH extends Polarity

  46. object HardType

  47. object ImplicitArea

  48. object InferableEnumEncodingImplChoiceAnticipated extends InferableEnumEncodingImplChoice

  49. object InferableEnumEncodingImplChoiceFixed extends InferableEnumEncodingImplChoice

  50. object InferableEnumEncodingImplChoiceInferred extends InferableEnumEncodingImplChoice

  51. object InferableEnumEncodingImplChoiceUndone extends InferableEnumEncodingImplChoice

  52. object Info

  53. object InputNormalize

  54. implicit def IntToBits(that: Int): Bits

  55. implicit def IntToBuilder(value: Int): IntBuilder

  56. implicit def IntToSInt(that: Int): SInt

  57. implicit def IntToUInt(that: Int): UInt

  58. object LOW extends Polarity

  59. object LocatedPendingError

  60. object MULTIPLE_RAM extends MemBitsMaskKind

  61. object MacroTest

  62. object MaskedLiteral

  63. object Mem

  64. object MemReadSync

  65. object MemReadWrite_readPart

  66. object MemReadWrite_writePart

  67. object MemWrite

  68. object Misc

  69. object MultipleAssignmentNode

  70. object Mux

  71. object NOTE extends AssertNodeSeverity

  72. object Nameable

  73. object Node

  74. object Operator

  75. object OwnableRef

  76. object PendingError

  77. object PhysicalNumber

  78. object RISING extends EdgeKind

  79. object RInt

    Created by PIC32F_USER on 05/01/2016.

  80. object Reg

  81. object RegInit

  82. object RegNext

  83. object RegNextWhen

  84. object RegS

  85. object S extends BitVectorLiteralFactory[SInt]

  86. object SF

  87. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Definition Classes
    SFixFactory
  88. def SFix(peak: ExpNumber, width: BitCount): SFix

    Definition Classes
    SFixFactory
  89. object SFix2D

  90. object SINGLE_RAM extends MemBitsMaskKind

  91. def SInt(width: BitCount): SInt

    Create a new SInt of a given width

    Create a new SInt of a given width

    Definition Classes
    SIntFactory
  92. def SInt(): SInt

    Create a new SInt

    Create a new SInt

    Definition Classes
    SIntFactory
  93. object SIntLiteral

  94. object SYNC extends ResetKind

  95. object ScalaLocated

  96. object ScalaUniverse

  97. object Sel

  98. object Select

  99. object SeqMux

  100. object Spinal

  101. object SpinalConfig extends Serializable

  102. object SpinalEnumEncoding

    Used to create a custom encoding

    Used to create a custom encoding

    Example:
    1. object BR extends SpinalEnum{
        val NE, EQ, J, JR = newElement()
        defaultEncoding = SpinalEnumEncoding("opt")(
            EQ -> 0,
            NE -> 1,
            J  -> 2,
            JR -> 3 )
      }
  103. object SpinalError

  104. object SpinalExit extends Serializable

  105. object SpinalInfo

  106. object SpinalLog

  107. object SpinalMap

  108. object SpinalProgress

  109. object SpinalTagReady

  110. object SpinalVerilog

  111. object SpinalVerilogBoot

  112. object SpinalVhdl

  113. object SpinalVhdlBoot

  114. object SpinalWarning

  115. implicit def StringToBits(that: String): Bits

  116. implicit def StringToSInt(that: String): SInt

  117. implicit def StringToUInt(that: String): UInt

  118. object SymplifyNode

  119. object SyncNode

  120. def True: Bool

  121. object U extends BitVectorLiteralFactory[UInt]

  122. object UF

  123. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Definition Classes
    UFixFactory
  124. def UFix(peak: ExpNumber, width: BitCount): UFix

    Definition Classes
    UFixFactory
  125. object UFix2D

  126. def UInt(width: BitCount): UInt

    Create a new UInt of a given width

    Create a new UInt of a given width

    Definition Classes
    UIntFactory
  127. def UInt(): UInt

    Create a new UInt

    Create a new UInt

    Definition Classes
    UIntFactory
  128. object UInt2D extends Serializable

  129. object UIntLiteral

  130. object VHDL extends SpinalMode

  131. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  132. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  133. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  134. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  135. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  136. object Verilator

  137. object Verilog extends SpinalMode

  138. object VhdlVerilogBase

  139. object WARNING extends AssertNodeSeverity

  140. object WhenNode

  141. object WidthInfer

  142. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): Unit

  143. def assert(assertion: Bool, severity: AssertNodeSeverity): Unit

  144. def assert(assertion: Bool, message: String): Unit

  145. def assert(assertion: Bool): Unit

  146. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Annotations
    @elidable( ASSERTION ) @inline()
  147. def assert(assertion: Boolean): Unit

    Annotations
    @elidable( ASSERTION )
  148. object auto extends MemTechnologyKind

  149. object binaryOneHot extends SpinalEnumEncoding

    Binary One hot encoding

    Binary One hot encoding

    Example:
    1. 000, 010, 100
  150. object binarySequential extends SpinalEnumEncoding

    Binary Sequential

    Binary Sequential

    Example:
    1. 000, 001, 010, 011, 100, 101, ....
  151. object blackboxAll extends MemBlackboxingPolicy

  152. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

  153. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

  154. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

  155. object cloneOf

  156. object cloneable

  157. object crossClockBuffer extends SpinalTag

  158. object crossClockDomain extends SpinalTag

  159. object default

  160. object distributedLut extends MemTechnologyKind

  161. object dontCare extends ReadUnderWritePolicy

  162. macro def enum(param: Symbol*): Any

  163. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  164. object ifGen

  165. implicit lazy val implicitConversions: implicitConversions

  166. object in extends IODirection

  167. object inWithNull extends IODirection

  168. object inferred extends SpinalEnumEncoding

    Inferred encoding

  169. object is

  170. object isPow2

  171. object log2Up

  172. object native extends SpinalEnumEncoding

    Native encoding

  173. object out extends IODirection

  174. object outWithNull extends IODirection

  175. def postTypeFactory[T <: Data](that: T): T

    Definition Classes
    TypeFactory
  176. implicit lazy val postfixOps: postfixOps

  177. object ramBlock extends MemTechnologyKind

  178. object randomBoot extends SpinalTag

  179. object readFirst extends ReadUnderWritePolicy

  180. implicit lazy val reflectiveCalls: reflectiveCalls

  181. object registerFile extends MemTechnologyKind

  182. def report(message: String, severity: AssertNodeSeverity = NOTE): Unit

  183. object roundUp

  184. object signalCache

  185. object switch

  186. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  187. object tagAutoResize extends SpinalTag

  188. object tagTruncated extends SpinalTag

  189. object uLogic extends SpinalTag

    Create a Ulogic tag used by Blackbox in order to transform std_logic into std_ulogic

  190. object unusedTag extends SpinalTag

  191. object weakCloneOf

  192. object when

  193. object widthOf

  194. object writeFirst extends ReadUnderWritePolicy

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated
  4. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated
  5. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped