spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait ActiveKind extends AnyRef

  2. trait Area extends Nameable with ContextUser with OwnableRef with ScalaLocated

  3. class AssertNode extends SyncNode

  4. trait AssertNodeSeverity extends AnyRef

  5. trait Assignable extends AnyRef

  6. class AssignedBits extends AnyRef

  7. class AssignedRange extends AnyRef

  8. class AssignementLevel extends AnyRef

  9. case class AssignementLevelCmd(that: Node, by: Node) extends Product with Serializable

  10. trait AssignementLevelNode extends AnyRef

  11. case class AssignementLevelSimple(that: Node, by: Node) extends AssignementLevelNode with Product with Serializable

  12. class AssignementLevelSwitch extends AssignementLevelNode

  13. class AssignementLevelWhen extends AssignementLevelNode

  14. abstract class AssignementNode extends Node

  15. abstract class AssignementNodeWidthable extends AssignementNode with Widthable

  16. trait AssignementTreePart extends AnyRef

  17. trait Attribute extends SpinalTag

    Created by PIC18F on 09.02.2015.

  18. class AttributeFlag extends Attribute

  19. class AttributeString extends Attribute

  20. abstract class BaseType extends Node with Data with Nameable with AssignementTreePart

  21. trait BaseTypeCast extends SFixCast with UFixCast

  22. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

  23. implicit class BigDecimalBuilder extends AnyRef

  24. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  25. abstract class BinaryOperator extends Operator

  26. abstract class BinaryOperatorWidthableInputs extends BinaryOperator

  27. class BitAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  28. class BitAssignmentFloating extends AssignementNodeWidthable

  29. case class BitCount(value: Int) extends Product with Serializable

  30. abstract class BitVector extends BaseType with Widthable with CheckWidth

  31. abstract class BitVectorLiteral extends Node with Literal with Widthable

  32. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  33. class Bits extends BitVector with DataPrimitives[Bits] with BitwiseOp[Bits]

  34. class BitsAllToLiteral extends Node with Literal with Widthable

  35. trait BitsFactory extends AnyRef

  36. class BitsLiteral extends BitVectorLiteral

  37. trait BitwiseOp[T <: Data] extends AnyRef

  38. abstract class BlackBox extends Component with SpinalTagReady

  39. abstract class BlackBoxULogic extends BlackBox

  40. class Bool extends BaseType with DataPrimitives[Bool] with BitwiseOp[Bool]

  41. trait BoolFactory extends AnyRef

  42. class BoolLiteral extends Node with Literal

  43. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  44. class BundleCase extends Bundle

  45. class CaseContext extends ConditionalContext

  46. abstract class Cast extends Modifier

  47. abstract class CastBitVectorToBitVector extends Cast with Widthable

  48. class CastBitsToEnum extends Cast with InferableEnumEncodingImpl with CheckWidth

  49. class CastBitsToSInt extends CastBitVectorToBitVector

  50. class CastBitsToUInt extends CastBitVectorToBitVector

  51. class CastBoolToBits extends Cast with Widthable

  52. class CastEnumToBits extends Cast with Widthable

  53. class CastEnumToEnum extends Cast with InferableEnumEncodingImpl

  54. class CastSIntToBits extends CastBitVectorToBitVector

  55. class CastSIntToUInt extends CastBitVectorToBitVector

  56. class CastUIntToBits extends CastBitVectorToBitVector

  57. class CastUIntToSInt extends CastBitVectorToBitVector

  58. trait CheckWidth extends AnyRef

  59. class ClockDomain extends AnyRef

  60. trait ClockDomainBoolTag extends SpinalTag

  61. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: ActiveKind = HIGH, softResetActiveLevel: ActiveKind = HIGH, clockEnableActiveLevel: ActiveKind = HIGH) extends Product with Serializable

  62. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  63. class ClockEnableArea extends Area with DelayedInit

  64. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  65. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  66. class ClockingArea extends Area with DelayedInit

  67. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit with Stackable with OwnableRef

  68. class ComponentBuilder extends AnyRef

  69. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  70. abstract class ConstantOperator extends Operator

  71. abstract class ConstantOperatorWidthableInputs extends ConstantOperator

  72. trait ContextUser extends GlobalDataUser

  73. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef

  74. class DataPimper[T <: Data] extends DataPrimitives[T]

  75. trait DataPrimitives[T <: Data] extends AnyRef

  76. trait DataWrapper extends Data

  77. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

  78. class DontCareNode extends NodeWithoutInputs

  79. class DontCareNodeFixed extends DontCareNode with Widthable

  80. class DontCareNodeInfered extends DontCareNode with Widthable

  81. case class DoubleBuilder(d: Double) extends Product with Serializable

  82. trait DummyTrait extends AnyRef

  83. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

  84. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  85. class EnumData extends SpinalEnum

  86. trait EnumEncoded extends AnyRef

  87. class EnumFsm extends SpinalEnum

  88. class EnumLiteral[T <: SpinalEnum] extends Node with Literal with InferableEnumEncodingImpl

  89. case class ExpNumber(value: Int) extends Product with Serializable

  90. abstract class Extract extends Modifier

  91. abstract class ExtractBitsVectorFixed extends Extract with WidthProvider with CheckWidth

  92. class ExtractBitsVectorFixedFromBits extends ExtractBitsVectorFixed

  93. class ExtractBitsVectorFixedFromSInt extends ExtractBitsVectorFixed

  94. class ExtractBitsVectorFixedFromUInt extends ExtractBitsVectorFixed

  95. abstract class ExtractBitsVectorFloating extends Extract with WidthProvider

  96. class ExtractBitsVectorFloatingFromBits extends ExtractBitsVectorFloating

  97. class ExtractBitsVectorFloatingFromSInt extends ExtractBitsVectorFloating

  98. class ExtractBitsVectorFloatingFromUInt extends ExtractBitsVectorFloating

  99. abstract class ExtractBoolFixed extends Extract with CheckWidth

  100. class ExtractBoolFixedFromBits extends ExtractBoolFixed

  101. class ExtractBoolFixedFromSInt extends ExtractBoolFixed

  102. class ExtractBoolFixedFromUInt extends ExtractBoolFixed

  103. abstract class ExtractBoolFloating extends Extract

  104. class ExtractBoolFloatingFromBits extends ExtractBoolFloating

  105. class ExtractBoolFloatingFromSInt extends ExtractBoolFloating

  106. class ExtractBoolFloatingFromUInt extends ExtractBoolFloating

  107. case class FixedFrequency(value: BigDecimal) extends IClockDomainFrequency with Product with Serializable

  108. class Generic extends AnyRef

    Created by PIC18F on 24.01.2015.

  109. class GlobalData extends AnyRef

  110. trait GlobalDataUser extends AnyRef

  111. class HardType[T <: Data] extends AnyRef

  112. trait IClockDomainFrequency extends AnyRef

  113. trait IODirection extends BaseTypeFactory

  114. abstract class ImplicitArea[T] extends Area

  115. trait InferableEnumEncoding extends AnyRef

  116. trait InferableEnumEncodingImpl extends EnumEncoded with InferableEnumEncoding with ContextUser with ScalaLocated

  117. trait InferableEnumEncodingImplChoice extends AnyRef

  118. final class IntBuilder extends AnyVal

  119. trait Literal extends Node

  120. implicit class LiteralBuilder extends AnyRef

  121. case class LiteralInt(value: BigInt) extends Product with Serializable

  122. class MaskedLiteral extends AnyRef

  123. class Mem[T <: Data] extends NodeWithVariableInputsCount with Nameable with Widthable

  124. trait MemBitsMaskKind extends AnyRef

  125. trait MemBlackboxingPolicy extends AnyRef

  126. class MemReadAsync extends Node with Widthable with CheckWidth with Nameable

  127. class MemReadSync extends SyncNode with Widthable with CheckWidth with Nameable

  128. class MemReadWrite_readPart extends SyncNode with Widthable with CheckWidth with Nameable

  129. class MemReadWrite_writePart extends SyncNode with Widthable with CheckWidth with Nameable

  130. trait MemTechnologyKind extends AnyRef

  131. class MemTopology extends AnyRef

  132. class MemWrite extends SyncNode with Widthable with CheckWidth with Nameable

  133. case class MemWriteOrReadSync(write: MemReadWrite_writePart, read: MemReadWrite_readPart) extends Product with Serializable

  134. class MemWritePayload[T <: Data] extends Bundle

  135. trait MinMaxProvider extends AnyRef

  136. abstract class Modifier extends Node

  137. abstract class MultiData extends Data

  138. class MultipleAssignmentNode extends Node with AssignementTreePart

  139. class MultipleAssignmentNodeEnum extends MultipleAssignmentNode with InferableEnumEncodingImpl

  140. class MultipleAssignmentNodeWidthable extends MultipleAssignmentNode with Widthable with CheckWidth

  141. abstract class MultiplexedWidthable extends Multiplexer with Widthable with CheckWidth

  142. abstract class Multiplexer extends Modifier

  143. class MultiplexerBits extends MultiplexedWidthable

  144. class MultiplexerBool extends Multiplexer

  145. class MultiplexerEnum extends Multiplexer with InferableEnumEncodingImpl

  146. class MultiplexerSInt extends MultiplexedWidthable

  147. class MultiplexerUInt extends MultiplexedWidthable

  148. trait Nameable extends OwnableRef

  149. trait NameableByComponent extends Nameable with GlobalDataUser

  150. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  151. abstract class NodeWithVariableInputsCount extends Node

  152. abstract class NodeWithoutInputs extends Node

  153. class NoneNode extends NodeWithoutInputs

  154. trait Num[T <: Data] extends AnyRef

  155. abstract class Operator extends Modifier

  156. trait OverridedEqualsHashCode extends AnyRef

  157. trait OwnableRef extends AnyRef

  158. trait Phase extends AnyRef

  159. class PhaseAddInOutBinding extends PhaseNetlist

  160. class PhaseAddNodesIntoComponent extends PhaseMisc

  161. class PhaseAllocateNames extends PhaseMisc

  162. class PhaseAllowNodesToReadInputOfKindComponent extends PhaseNetlist

  163. class PhaseAllowNodesToReadOutputs extends PhaseNetlist

  164. class PhaseApplyIoDefault extends PhaseNetlist

  165. trait PhaseCheck extends Phase

  166. class PhaseCheckCombinationalLoops extends PhaseCheck

  167. class PhaseCheckCrossClockDomains extends PhaseCheck

  168. class PhaseCheckInferredWidth extends PhaseCheck

  169. class PhaseCheckMisc extends PhaseCheck

  170. class PhaseCheck_noAsyncNodeWithIncompleteAssignment extends PhaseCheck

  171. class PhaseCheck_noNull_noCrossHierarchy_noInputRegister_noDirectionLessIo extends PhaseCheck

  172. class PhaseCollectAndNameEnum extends PhaseMisc

  173. class PhaseCompletSwitchCases extends PhaseNetlist

  174. class PhaseContext extends AnyRef

    Created by PIC32F_USER on 05/06/2016.

  175. class PhaseCreateComponent extends PhaseNetlist

  176. class PhaseDeleteUselessBaseTypes extends PhaseNetlist

  177. class PhaseDontSymplifyBasetypeWithComplexAssignement extends PhaseMisc

  178. class PhaseDontSymplifyVerilogMismatchingWidth extends PhaseMisc

  179. class PhaseDummy extends PhaseMisc

  180. class PhaseInferEnumEncodings extends PhaseMisc

  181. class PhaseInferWidth extends PhaseMisc

  182. class PhaseKeepAll extends PhaseMisc

  183. class PhaseMemBlackBoxingDefault extends PhaseMemBlackBoxingWithPolicy

  184. abstract class PhaseMemBlackBoxingWithPolicy extends PhaseMemBlackboxing

  185. trait PhaseMemBlackboxing extends PhaseNetlist

  186. trait PhaseMisc extends Phase

  187. class PhaseMoveLogicTags extends PhaseMisc

  188. class PhaseNameBinding extends PhaseMisc

  189. class PhaseNameNodesByReflection extends PhaseMisc

  190. trait PhaseNetlist extends Phase

  191. class PhaseNodesBlackBoxGenerics extends PhaseMisc

  192. class PhaseNormalizeNodeInputs extends PhaseNetlist

  193. class PhaseOrderComponentsNodes extends PhaseMisc

  194. class PhasePreInferationChecks extends PhaseMisc

  195. class PhasePrintStates extends PhaseMisc

  196. class PhasePrintUnUsedSignals extends PhaseCheck

  197. class PhasePropagateBaseTypeWidth extends PhaseMisc

  198. class PhasePullClockDomains extends PhaseNetlist

  199. class PhaseRemoveComponentThatNeedNoHdlEmit extends PhaseNetlist

  200. class PhaseResizeLiteralSimplify extends PhaseNetlist

  201. class PhaseSimplifyBlacBoxGenerics extends PhaseNetlist

  202. class PhaseSimplifyNodes extends PhaseNetlist

  203. class PhaseVerilog extends PhaseMisc with VerilogBase

    Created by PIC32F_USER on 05/06/2016.

  204. class PhaseVhdl extends PhaseMisc with VhdlBase

    Created by PIC32F_USER on 05/06/2016.

  205. case class PosCount(value: Int) extends Product with Serializable

  206. class RInt extends MultiData with Num[RInt]

  207. class Ram_1w_1ra extends BlackBox

  208. class Ram_1w_1rs extends BlackBox

  209. class Ram_1wors extends BlackBox

  210. class Ram_1wrs extends BlackBox

  211. class Ram_2c_1w_1rs extends BlackBox

  212. class Ram_2wrs extends BlackBox

  213. implicit class RangePimper extends AnyRef

  214. class RangedAssignmentFixed extends AssignementNodeWidthable with CheckWidth

  215. class RangedAssignmentFloating extends AssignementNodeWidthable with CheckWidth

  216. trait ReadUnderWritePolicy extends AnyRef

    Created by PIC18F on 02.02.2015.

  217. class Reg extends SyncNode with Assignable with AssignementTreePart

  218. class RegEnum extends Reg with InferableEnumEncodingImpl

  219. class RegWidthable extends Reg with Widthable with CheckWidth

  220. class ResetArea extends Area with DelayedInit

  221. trait ResetKind extends AnyRef

  222. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  223. abstract class Resize extends Modifier with Widthable

  224. class ResizeBits extends Resize

  225. class ResizeSInt extends Resize

  226. class ResizeUInt extends Resize

  227. class SFix extends XFix[SFix, SInt]

  228. class SFix2D extends Bundle

  229. trait SFixCast extends AnyRef

  230. trait SFixFactory extends TypeFactory

    Created by PIC on 19.05.2015.

  231. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BitwiseOp[SInt]

  232. trait SIntFactory extends AnyRef

  233. class SIntLiteral extends BitVectorLiteral

  234. implicit class SIntPimper extends AnyRef

  235. class SafeStack[T] extends AnyRef

  236. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  237. trait ScalaLocated extends GlobalDataUser

  238. class Scope extends AnyRef

  239. case class SlicesCount(value: Int) extends Product with Serializable

  240. case class SpinalConfig(mode: SpinalMode = null, debug: Boolean = false, keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", dumpWave: DumpWaveConfig = null, globalPrefix: String = "", device: Device = Device(), genVhdlPkg: Boolean = true, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ...) extends Product with Serializable

  241. class SpinalEnum extends Nameable

  242. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

  243. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

  244. trait SpinalEnumEncoding extends Nameable

  245. class SpinalExit extends Exception

  246. trait SpinalMode extends AnyRef

  247. class SpinalReport[T <: Component] extends AnyRef

  248. trait SpinalTag extends AnyRef

  249. trait SpinalTagReady extends AnyRef

  250. trait Stackable extends AnyRef

  251. class SwitchContext extends ConditionalContext

  252. class SwitchStack extends AnyRef

  253. case class SwitchTreeCase(const: Node, doThat: AssignementLevel) extends Product with Serializable

  254. case class SwitchTreeDefault(doThat: AssignementLevel) extends Product with Serializable

  255. abstract class SyncNode extends Node

  256. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  257. trait TypeFactory extends AnyRef

    Created by PIC18F on 21.08.2014.

  258. class UFix extends XFix[UFix, UInt]

  259. class UFix2D extends Bundle

  260. trait UFixCast extends AnyRef

  261. trait UFixFactory extends TypeFactory

  262. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BitwiseOp[UInt]

  263. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

  264. trait UIntFactory extends AnyRef

  265. class UIntLiteral extends BitVectorLiteral

  266. implicit class UIntPimper extends AnyRef

  267. abstract class UnaryOperator extends Operator

  268. abstract class UnaryOperatorWidthableInputs extends UnaryOperator

  269. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  270. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  271. class VecAccessAssign[T <: BaseType] extends Assignable

  272. trait VecFactory extends AnyRef

  273. trait VerilogBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  274. trait VhdlBase extends VhdlVerilogBase

    Created by PIC18F on 07.01.2015.

  275. class VhdlTestBenchBackend extends VhdlBase with PhaseMisc

  276. trait VhdlVerilogBase extends AnyRef

  277. class WhenContext extends ConditionalContext with ScalaLocated

  278. class WhenNode extends Node with AssignementTreePart

  279. class WhenNodeEnum extends WhenNode with InferableEnumEncodingImpl

  280. class WhenNodeWidthable extends WhenNode with Widthable with CheckWidth

  281. trait WidthProvider extends AnyRef

  282. trait Widthable extends WidthProvider with GlobalDataUser with ScalaLocated

  283. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  284. class dontName extends Annotation with Annotation with ClassfileAnnotation

Value Members

  1. object ASYNC extends ResetKind

  2. object AllowMixedWidth extends SpinalTag

  3. object AnnotationUtils

  4. object ArrayManager

  5. object AssertNode

  6. object AssignedBits

  7. object AssignedRange

  8. object AssignementTree

  9. object B extends BitVectorLiteralFactory[Bits]

  10. object BOOT extends ResetKind

  11. object BaseType

  12. implicit def BigIntToBits(that: BigInt): Bits

  13. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  14. implicit def BigIntToSInt(that: BigInt): SInt

  15. implicit def BigIntToUInt(that: BigInt): UInt

  16. def Bits(width: BitCount): Bits

    Definition Classes
    BitsFactory
  17. def Bits(): Bits

    Definition Classes
    BitsFactory
  18. object BitsLiteral

  19. def Bool(value: Boolean): Bool

    Definition Classes
    BoolFactory
  20. def Bool(): Bool

    Definition Classes
    BoolFactory
  21. object BoolLiteral

  22. object Bundle

    Created by PIC18F on 08.01.2015.

  23. object Cat

  24. object CheckWidth

  25. object ClockDomain

  26. object Component

  27. object Context

    Created by PIC18F on 21.08.2014.

  28. object Data

  29. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  30. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  31. object Driver

    Created by PIC18F on 07.01.2015.

  32. object ERROR extends AssertNodeSeverity

  33. object Encoding

  34. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

  35. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

  36. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  37. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

  38. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

  39. object FAILURE extends AssertNodeSeverity

  40. object FALLING extends EdgeKind

  41. def False: Bool

  42. object GlobalData

  43. object HIGH extends ActiveKind

  44. object HardType

  45. object ImplicitArea

  46. object InferableEnumEncodingImplChoiceAnticipated extends InferableEnumEncodingImplChoice

  47. object InferableEnumEncodingImplChoiceFixed extends InferableEnumEncodingImplChoice

  48. object InferableEnumEncodingImplChoiceInferred extends InferableEnumEncodingImplChoice

  49. object InferableEnumEncodingImplChoiceUndone extends InferableEnumEncodingImplChoice

  50. object InputNormalize

  51. implicit def IntToBits(that: Int): Bits

  52. implicit def IntToBuilder(value: Int): IntBuilder

  53. implicit def IntToSInt(that: Int): SInt

  54. implicit def IntToUInt(that: Int): UInt

  55. object LOW extends ActiveKind

  56. object LocatedPendingError

  57. object MULTIPLE_RAM extends MemBitsMaskKind

  58. object MacroTest

  59. object MaskedLiteral

  60. object Mem

  61. object MemReadSync

  62. object MemReadWrite_readPart

  63. object MemReadWrite_writePart

  64. object MemWrite

  65. object Misc

  66. object MultipleAssignmentNode

  67. object Mux

  68. object NOTE extends AssertNodeSeverity

  69. object Nameable

  70. object Node

  71. object Operator

  72. object OwnableRef

  73. object PendingError

  74. object RISING extends EdgeKind

  75. object RInt

    Created by PIC32F_USER on 05/01/2016.

  76. object Reg

  77. object RegInit

  78. object RegNext

  79. object RegNextWhen

  80. object RegS

  81. object S extends BitVectorLiteralFactory[SInt]

  82. object SF

  83. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Definition Classes
    SFixFactory
  84. def SFix(peak: ExpNumber, width: BitCount): SFix

    Definition Classes
    SFixFactory
  85. object SFix2D

  86. object SINGLE_RAM extends MemBitsMaskKind

  87. def SInt(width: BitCount): SInt

    Definition Classes
    SIntFactory
  88. def SInt(): SInt

    Definition Classes
    SIntFactory
  89. object SIntLiteral

  90. object SYNC extends ResetKind

  91. object ScalaLocated

  92. object ScalaUniverse

  93. object Sel

  94. object Select

  95. object SeqMux

  96. object Spinal

  97. object SpinalConfig extends Serializable

  98. object SpinalError

  99. object SpinalExit extends Serializable

  100. object SpinalInfo

  101. object SpinalLog

  102. object SpinalMap

  103. object SpinalProgress

  104. object SpinalTagReady

  105. object SpinalVerilog

  106. object SpinalVerilogBoot

  107. object SpinalVhdl

  108. object SpinalVhdlBoot

  109. object SpinalWarning

  110. implicit def StringToBits(that: String): Bits

  111. implicit def StringToSInt(that: String): SInt

  112. implicit def StringToUInt(that: String): UInt

  113. object SymplifyNode

  114. object SyncNode

  115. def True: Bool

  116. object U extends BitVectorLiteralFactory[UInt]

  117. object UF

  118. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Definition Classes
    UFixFactory
  119. def UFix(peak: ExpNumber, width: BitCount): UFix

    Definition Classes
    UFixFactory
  120. object UFix2D

  121. def UInt(width: BitCount): UInt

    Definition Classes
    UIntFactory
  122. def UInt(): UInt

    Definition Classes
    UIntFactory
  123. object UInt2D extends Serializable

  124. object UIntLiteral

  125. object VHDL extends SpinalMode

  126. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  127. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  128. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  129. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  130. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  131. object Verilog extends SpinalMode

  132. object WARNING extends AssertNodeSeverity

  133. object WhenNode

  134. object WidthInfer

  135. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): Unit

  136. def assert(assertion: Bool, severity: AssertNodeSeverity): Unit

  137. def assert(assertion: Bool, message: String): Unit

  138. def assert(assertion: Bool): Unit

  139. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Annotations
    @elidable( ASSERTION ) @inline()
  140. def assert(assertion: Boolean): Unit

    Annotations
    @elidable( ASSERTION )
  141. object auto extends MemTechnologyKind

  142. object binaryOneHot extends SpinalEnumEncoding

  143. object binarySequancial extends SpinalEnumEncoding

  144. object blackboxAll extends MemBlackboxingPolicy

  145. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

  146. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

  147. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

  148. object cloneOf

  149. object cloneable

  150. object crossClockBuffer extends SpinalTag

  151. object crossClockDomain extends SpinalTag

  152. object default

  153. object distributedLut extends MemTechnologyKind

  154. object dontCare extends ReadUnderWritePolicy

  155. macro def enum(param: Symbol*): Any

  156. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  157. object ifGen

  158. implicit lazy val implicitConversions: implicitConversions

  159. object in extends IODirection

  160. object inWithNull extends IODirection

  161. object inferred extends SpinalEnumEncoding

  162. object is

  163. object isPow2

  164. object log2Up

  165. object native extends SpinalEnumEncoding

  166. object out extends IODirection

  167. object outWithNull extends IODirection

  168. def postTypeFactory[T <: Data](that: T): T

    Definition Classes
    TypeFactory
  169. implicit lazy val postfixOps: postfixOps

  170. object ramBlock extends MemTechnologyKind

  171. object randomBoot extends SpinalTag

  172. object readFirst extends ReadUnderWritePolicy

  173. implicit lazy val reflectiveCalls: reflectiveCalls

  174. object registerFile extends MemTechnologyKind

  175. def report(message: String, severity: AssertNodeSeverity = NOTE): Unit

  176. object roundUp

  177. object signalCache

  178. object switch

  179. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  180. object tagAutoResize extends SpinalTag

  181. object tagTruncated extends SpinalTag

  182. object uLogic extends SpinalTag

  183. object unusedTag extends SpinalTag

  184. object weakCloneOf

  185. object when

  186. object widthOf

  187. object writeFirst extends ReadUnderWritePolicy

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated
  4. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated
  5. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped