spinal.core

SpinalEnumCraft

Related Doc: package core

class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

Hardware representation of an enumeration

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. SpinalEnumCraft
  2. DataPrimitives
  3. InferableEnumEncodingImpl
  4. InferableEnumEncoding
  5. EnumEncoded
  6. BaseType
  7. Expression
  8. StatementDoubleLinkedContainer
  9. DoubleLinkedContainer
  10. DeclarationStatement
  11. LeafStatement
  12. Statement
  13. BaseNode
  14. ExpressionContainer
  15. Data
  16. ScalaLocated
  17. SpinalTagReady
  18. Assignable
  19. NameableByComponent
  20. Nameable
  21. OwnableRef
  22. ContextUser
  23. GlobalDataUser
  24. AnyRef
  25. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Instance Constructors

  1. new SpinalEnumCraft(spinalEnum: T)

Type Members

  1. abstract type RefOwnerType

    Definition Classes
    OwnableRef

Value Members

  1. final def !=(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  2. def ##(right: Data): Bits

    Concatenation between two data

    Concatenation between two data

    Definition Classes
    Data
  3. final def ##(): Int

    Definition Classes
    AnyRef → Any
  4. def :=(that: SpinalEnumElement[T]): Unit

  5. def :=(that: SpinalEnumCraft[T]): Unit

    Assign a data to this

    Assign a data to this

    Definition Classes
    DataPrimitives
  6. def <>(that: SpinalEnumCraft[T]): Unit

    Auto connection between two data

    Auto connection between two data

    Definition Classes
    DataPrimitives
  7. def =/=(that: SpinalEnumElement[T]): Bool

  8. def =/=(that: SpinalEnumCraft[T]): Bool

    Definition Classes
    DataPrimitives
  9. final def ==(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  10. def ===(that: SpinalEnumElement[T]): Bool

  11. def ===(that: SpinalEnumCraft[T]): Bool

    Comparison between two data

    Comparison between two data

    Definition Classes
    DataPrimitives
  12. def \(that: SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Use as \= to have the same behavioral thant VHDL variable

    Use as \= to have the same behavioral thant VHDL variable

    Definition Classes
    DataPrimitives
  13. var _spinalTags: Set[SpinalTag]

    Definition Classes
    SpinalTagReady
  14. def addAttribute(attribute: Attribute): SpinalEnumCraft.this.type

    Definition Classes
    BaseTypeDataSpinalTagReady
  15. def addAttribute(name: String, value: String): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  16. def addAttribute(name: String): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  17. def addTag(spinalTag: SpinalTag): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  18. def addTags(tags: Iterable[SpinalTag]): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  19. var algoIncrementale: Int

    Definition Classes
    BaseNode
  20. var algoInt: Int

    Definition Classes
    BaseNode
  21. def allowDirectionLessIo: SpinalEnumCraft.this.type

    Definition Classes
    Data
  22. def allowOverride: SpinalEnumCraft.this.type

    Allow a data to be overrided

    Allow a data to be overrided

    Definition Classes
    Data
  23. def allowPruning(): SpinalEnumCraft.this.type

    Definition Classes
    Data
  24. def allowSimplifyIt(): SpinalEnumCraft.this.type

    Definition Classes
    BaseTypeData
  25. def allowUnsetRegToAvoidLatch: SpinalEnumCraft.this.type

    Definition Classes
    Data
  26. def asBits: Bits

    Cast data to Bits

    Cast data to Bits

    Definition Classes
    SpinalEnumCraftData
  27. def asData: Data

    Definition Classes
    Data
  28. def asDirectionLess(): SpinalEnumCraft.this.type

    remove the direction (in,out,inout) to a data

    remove the direction (in,out,inout) to a data

    Definition Classes
    BaseTypeData
  29. def asInOut(): SpinalEnumCraft.this.type

    set a data as inout

    set a data as inout

    Definition Classes
    BaseTypeData
  30. def asInput(): SpinalEnumCraft.this.type

    Set a data as input

    Set a data as input

    Definition Classes
    BaseTypeData
  31. final def asInstanceOf[T0]: T0

    Definition Classes
    Any
  32. def asOutput(): SpinalEnumCraft.this.type

    Set a data as output

    Set a data as output

    Definition Classes
    BaseTypeData
  33. def assignDontCare(): SpinalEnumCraft.this.type

    Definition Classes
    SpinalEnumCraftData
  34. final def assignFrom(that: AnyRef, target: AnyRef = this): Unit

    Definition Classes
    Data
  35. def assignFromBits(bits: Bits, hi: Int, lo: Int): Unit

    Definition Classes
    SpinalEnumCraftData
  36. def assignFromBits(bits: Bits): Unit

    Definition Classes
    SpinalEnumCraftData
  37. def assignFromBits(bits: Bits, offset: Int, bitCount: BitCount): Unit

    Definition Classes
    Data
  38. def bootInferration(): Unit

  39. var clockDomain: ClockDomain

    Definition Classes
    BaseType
  40. def clone(): SpinalEnumCraft.this.type

    Definition Classes
    SpinalEnumCraftBaseTypeData → AnyRef
  41. def component: Component

    Definition Classes
    ContextUser
  42. final def compositAssignFrom(that: AnyRef, target: AnyRef, kind: AnyRef): Unit

    Definition Classes
    Assignable
  43. var compositeAssign: Assignable

    Definition Classes
    Assignable
  44. def copyEncodingConfig(that: InferableEnumEncodingImpl): Unit

    Definition Classes
    InferableEnumEncodingImpl
  45. def default(that: ⇒ SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Set a default value to a data

    Set a default value to a data

    Definition Classes
    DataPrimitives
  46. def dirString(): String

    Definition Classes
    Data
  47. def dlcAppend(that: AssignmentStatement): SpinalEnumCraft.this.type

    Definition Classes
    DoubleLinkedContainer
  48. def dlcForeach[T >: AssignmentStatement](func: (T) ⇒ Unit): Unit

    Definition Classes
    DoubleLinkedContainer
  49. def dlcHasOnlyOne: Boolean

    Definition Classes
    DoubleLinkedContainer
  50. var dlcHead: AssignmentStatement

    Definition Classes
    DoubleLinkedContainer
  51. def dlcIsEmpty: Boolean

    Definition Classes
    DoubleLinkedContainer
  52. var dlcLast: AssignmentStatement

    Definition Classes
    DoubleLinkedContainer
  53. def dlcPrepend(that: AssignmentStatement): SpinalEnumCraft.this.type

    Definition Classes
    DoubleLinkedContainer
  54. def dontSimplifyIt(): SpinalEnumCraft.this.type

    Definition Classes
    BaseTypeData
  55. final def eq(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  56. def equals(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  57. def existsTag(cond: (SpinalTag) ⇒ Boolean): Boolean

    Definition Classes
    SpinalTagReady
  58. def filterTag(cond: (SpinalTag) ⇒ Boolean): Iterable[SpinalTag]

    Definition Classes
    SpinalTagReady
  59. def finalize(): Unit

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  60. def findTag(cond: (SpinalTag) ⇒ Boolean): Option[SpinalTag]

    Definition Classes
    SpinalTagReady
  61. def fixEncoding(e: SpinalEnumEncoding): Unit

    Definition Classes
    InferableEnumEncodingImpl
  62. def flatten: Seq[BaseType]

    Definition Classes
    BaseTypeData
  63. def flattenLocalName: Seq[String]

    Definition Classes
    BaseTypeData
  64. def flip(): SpinalEnumCraft.this.type

    flip the direction of the data

    flip the direction of the data

    Definition Classes
    Data
  65. def foreachClockDomain(func: (ClockDomain) ⇒ Unit): Unit

    Definition Classes
    BaseTypeStatement
  66. def foreachDrivingExpression(func: (Expression) ⇒ Unit): Unit

    Definition Classes
    ExpressionContainer
  67. def foreachExpression(func: (Expression) ⇒ Unit): Unit

  68. def foreachReflectableNameables(doThat: (Any) ⇒ Unit): Unit

    Definition Classes
    Nameable
  69. def foreachStatements(func: (AssignmentStatement) ⇒ Unit): Unit

  70. def genIf(cond: Boolean): SpinalEnumCraft.this.type

    Generate this if condition is true

    Generate this if condition is true

    Definition Classes
    Data
  71. def getBitsWidth: Int

    Return the width of the data

    Return the width of the data

    Definition Classes
    SpinalEnumCraftData
  72. final def getClass(): Class[_]

    Definition Classes
    AnyRef → Any
  73. def getComponent(): Component

    Definition Classes
    DataNameableByComponent
  74. def getComponents(): Seq[Component]

    Get current component with all parents

    Get current component with all parents

    Definition Classes
    Data
  75. def getDefinition: SpinalEnum

    Definition Classes
    SpinalEnumCraftEnumEncoded
  76. def getDisplayName(): String

    Definition Classes
    Nameable
  77. def getDrivingReg: SpinalEnumCraft.this.type

    Definition Classes
    BaseType
  78. def getEncoding: SpinalEnumEncoding

    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  79. def getInstanceCounter: Int

    Definition Classes
    ContextUser
  80. def getName(): String

    Definition Classes
    NameableByComponentNameable
  81. def getName(default: String): String

    Definition Classes
    Nameable
  82. def getRealSource: Any

    Definition Classes
    Assignable
  83. def getRealSourceNoRec: Any

    Definition Classes
    DataAssignable
  84. def getRefOwnersChain(): List[Any]

    Definition Classes
    OwnableRef
  85. def getRootParent: Data

    Definition Classes
    Data
  86. def getScalaLocationLong: String

    Definition Classes
    ScalaLocated
  87. def getScalaLocationShort: String

    Definition Classes
    ScalaLocated
  88. def getSingleDriver: Option[SpinalEnumCraft.this.type]

    Definition Classes
    BaseType
  89. def getTag[T <: SpinalTag](clazz: Class[T]): Option[T]

    Definition Classes
    SpinalTagReady
  90. def getTypeObject: Any

    Definition Classes
    SpinalEnumCraftExpression
  91. def getZero: SpinalEnumCraft.this.type

    Create a data set to 0

    Create a data set to 0

    Definition Classes
    SpinalEnumCraftData
  92. val globalData: GlobalData

    Definition Classes
    GlobalDataUser
  93. def hasInit: Boolean

    Does the base type have initial value

    Does the base type have initial value

    Definition Classes
    BaseType
  94. def hasOnlyOneStatement: Boolean

  95. def hasTag(spinalTag: SpinalTag): Boolean

    Definition Classes
    SpinalTagReady
  96. def hashCode(): Int

    Definition Classes
    AnyRef → Any
  97. def head: AssignmentStatement

  98. def init(enumElement: SpinalEnumElement[T]): SpinalEnumCraft.this.type

  99. def init(that: SpinalEnumCraft[T]): SpinalEnumCraft[T]

    Set inital value to a data

    Set inital value to a data

    Definition Classes
    DataPrimitives
  100. final def initFrom(that: AnyRef, target: AnyRef = this): Unit

    Definition Classes
    Data
  101. def insertNext(s: Statement): Unit

    Definition Classes
    Statement
  102. def instanceAttributes(language: Language): Iterable[Attribute]

    Definition Classes
    SpinalTagReady
  103. def instanceAttributes: Iterable[Attribute]

    Definition Classes
    SpinalTagReady
  104. def isAnalog: Boolean

    Definition Classes
    BaseTypeData
  105. def isComb: Boolean

    Definition Classes
    BaseTypeData
  106. def isDirectionLess: Boolean

    Definition Classes
    Data
  107. def isEmptyOfTag: Boolean

    Definition Classes
    SpinalTagReady
  108. def isEquals(that: Any): Bool

    Definition Classes
    SpinalEnumCraftData
  109. def isInOut: Boolean

    Definition Classes
    Data
  110. def isInput: Boolean

    Definition Classes
    Data
  111. def isInputOrInOut: Boolean

    Definition Classes
    Data
  112. final def isInstanceOf[T0]: Boolean

    Definition Classes
    Any
  113. def isNamed: Boolean

    Definition Classes
    Nameable
  114. def isNotEquals(that: Any): Bool

    Definition Classes
    SpinalEnumCraftData
  115. def isOutput: Boolean

    Definition Classes
    Data
  116. def isOutputOrInOut: Boolean

    Definition Classes
    Data
  117. def isReg: Boolean

    Definition Classes
    BaseTypeData
  118. def isTypeNode: Boolean

    Is the baseType a node

    Is the baseType a node

    Definition Classes
    BaseType
  119. def isUnnamed: Boolean

    Definition Classes
    Nameable
  120. def isUsingResetSignal: Boolean

    Is the basetype using reset signal

    Is the basetype using reset signal

    Definition Classes
    BaseType
  121. def isUsingSoftResetSignal: Boolean

    Is the basetype using soft reset signal

    Is the basetype using soft reset signal

    Definition Classes
    BaseType
  122. def isVital: Boolean

    Check if the baseType is vital

    Check if the baseType is vital

    Definition Classes
    BaseType
  123. def keep(): SpinalEnumCraft.this.type

    Definition Classes
    Data
  124. var lastScopeStatement: Statement

    Definition Classes
    Statement
  125. def mux[T2 <: Data](mappings: (Any, T2)*): T2

    Definition Classes
    BaseType
  126. def muxList[T2 <: Data](defaultValue: T2, mappings: Seq[(Any, T2)]): T2

    Definition Classes
    BaseType
  127. def muxList[T2 <: Data](mappings: Seq[(Any, T2)]): T2

    Definition Classes
    BaseType
  128. final def ne(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  129. var nextScopeStatement: Statement

    Definition Classes
    Statement
  130. def noBackendCombMerge: SpinalEnumCraft.this.type

    Definition Classes
    Data
  131. def noCombLoopCheck: SpinalEnumCraft.this.type

    Definition Classes
    Data
  132. def normalizeInputs: Unit

    Definition Classes
    SpinalEnumCraftBaseTypeExpressionContainer
  133. final def notify(): Unit

    Definition Classes
    AnyRef
  134. final def notifyAll(): Unit

    Definition Classes
    AnyRef
  135. def onEachAttributes(doIt: (Attribute) ⇒ Unit): Unit

    Definition Classes
    SpinalTagReady
  136. def opName: String

    Definition Classes
    SpinalEnumCraftExpression
  137. var parent: Data

    Definition Classes
    Data
  138. var parentScope: ScopeStatement

    Definition Classes
    ContextUser
  139. def propagateEncoding: Boolean

    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  140. def pull(): SpinalEnumCraft.this.type

    Pull a signal to the top level (use for debugging)

    Pull a signal to the top level (use for debugging)

    Definition Classes
    Data
  141. def randBoot(): SpinalEnumCraft.this.type

    Usefull for register that doesn't need a reset value in RTL, but need a randome value for simulation (avoid x-propagation)

    Usefull for register that doesn't need a reset value in RTL, but need a randome value for simulation (avoid x-propagation)

    Definition Classes
    Data
  142. var refOwner: RefOwnerType

    Definition Classes
    OwnableRef
  143. def remapDrivingExpressions(func: (Expression) ⇒ Expression): Unit

    Definition Classes
    ExpressionContainer
  144. def remapExpressions(func: (Expression) ⇒ Expression): Unit

  145. def removeAssignments(): SpinalEnumCraft.this.type

    Remove all assignements of the base type

    Remove all assignements of the base type

    Definition Classes
    BaseTypeData
  146. def removeStatement(): Unit

    Definition Classes
    BaseTypeStatement
  147. def removeStatementFromScope(): Unit

    Definition Classes
    Statement
  148. def removeTag(spinalTag: SpinalTag): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  149. def removeTags(tags: Iterable[SpinalTag]): SpinalEnumCraft.this.type

    Definition Classes
    SpinalTagReady
  150. def resized: SpinalEnumCraft.this.type

    Resized data regarding target

    Resized data regarding target

    Definition Classes
    Data
  151. def rootScopeStatement: ScopeStatement

    Definition Classes
    BaseTypeStatement
  152. def setAsAnalog(): SpinalEnumCraft.this.type

    Definition Classes
    BaseTypeData
  153. def setAsComb(): SpinalEnumCraft.this.type

    Set baseType to Combinatorial

    Set baseType to Combinatorial

    Definition Classes
    BaseType
  154. def setAsReg(): SpinalEnumCraft.this.type

    Set baseType to reg

    Set baseType to reg

    Definition Classes
    BaseType
  155. def setAsTypeNode(): SpinalEnumCraft.this.type

    Set baseType to Node

    Set baseType to Node

    Definition Classes
    BaseType
  156. def setAsVital(): SpinalEnumCraft.this.type

    Set the baseType to vital

    Set the baseType to vital

    Definition Classes
    BaseType
  157. def setCompositeName(nameable: Nameable, postfix: String, weak: Boolean): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  158. def setCompositeName(nameable: Nameable, postfix: String): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  159. def setCompositeName(nameable: Nameable, weak: Boolean): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  160. def setCompositeName(nameable: Nameable): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  161. def setName(name: String, weak: Boolean = false): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  162. def setPartialName(name: String, weak: Boolean): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  163. def setPartialName(owner: Nameable, name: String, weak: Boolean): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  164. def setPartialName(name: String): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  165. def setPartialName(owner: Nameable, name: String): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  166. def setRefOwner(that: Any): Unit

    Definition Classes
    OwnableRef
  167. def setScalaLocated(source: ScalaLocated): SpinalEnumCraft.this.type

    Definition Classes
    ScalaLocated
  168. def setWeakName(name: String): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  169. def simplifyNode: Expression

    Definition Classes
    Expression
  170. val spinalEnum: T

  171. def spinalTags: Set[SpinalTag]

    Definition Classes
    SpinalTagReady
  172. def swapEncoding(encoding: SpinalEnumEncoding): Unit

    Definition Classes
    InferableEnumEncodingImplEnumEncoded
  173. final def synchronized[T0](arg0: ⇒ T0): T0

    Definition Classes
    AnyRef
  174. def toString(): String

    Definition Classes
    BaseTypeExpressionNameable → AnyRef → Any
  175. def toStringMultiLine(): String

    Definition Classes
    BaseNode
  176. def unsetName(): SpinalEnumCraft.this.type

    Definition Classes
    Nameable
  177. final def wait(): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  178. final def wait(arg0: Long, arg1: Int): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  179. final def wait(arg0: Long): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  180. def walkDrivingExpressions(func: (Expression) ⇒ Unit): Unit

    Definition Classes
    ExpressionContainer
  181. def walkExpression(func: (Expression) ⇒ Unit): Unit

    Definition Classes
    ExpressionContainer
  182. def walkParentTreeStatements(func: (TreeStatement) ⇒ Unit): Unit

    Definition Classes
    Statement
  183. def walkParentTreeStatementsUntilRootScope(func: (TreeStatement) ⇒ Unit): Unit

    Definition Classes
    Statement
  184. def walkRemapDrivingExpressions(func: (Expression) ⇒ Expression): Unit

    Definition Classes
    ExpressionContainer
  185. def walkRemapExpressions(func: (Expression) ⇒ Expression): Unit

    Definition Classes
    ExpressionContainer
  186. def wrapCast[T <: BaseType](result: T, node: Cast): T

    Definition Classes
    BaseType

Deprecated Value Members

  1. def !==(that: SpinalEnumElement[T]): Bool

    Annotations
    @deprecated
    Deprecated

    Use =/= instead

Inherited from DataPrimitives[SpinalEnumCraft[T]]

Inherited from InferableEnumEncodingImpl

Inherited from InferableEnumEncoding

Inherited from EnumEncoded

Inherited from BaseType

Inherited from Expression

Inherited from DeclarationStatement

Inherited from LeafStatement

Inherited from Statement

Inherited from BaseNode

Inherited from ExpressionContainer

Inherited from Data

Inherited from ScalaLocated

Inherited from SpinalTagReady

Inherited from Assignable

Inherited from NameableByComponent

Inherited from Nameable

Inherited from OwnableRef

Inherited from ContextUser

Inherited from GlobalDataUser

Inherited from AnyRef

Inherited from Any

Ungrouped