class icon trait icon trait icon trait icon
    1. spinal
        1. spinal.lib
          1. (object)
            AddWithCarry
          2. (class)BitAggregator
          3. (class)BoolPimped
          4. (object)(class)BufferCC
          5. (object)
            Callable
          6. (object)
            ClearCount
          7. (object)(class)Counter
          8. (object)
            CounterFreeRun
          9. (object)
            CounterMultiRequest
          10. (object)(class)CounterUpDown
          11. (object)
            CountOne
          12. (object)(trait)DataCarrier
          13. (class)DataCarrierFragmentBitsPimped
          14. (class)DataCarrierFragmentPimped
          15. (object)
            Delay
          16. (object)
            DelayEvent
          17. (object)
            DelayWithInit
          18. (object)
            Endianness
          19. (case class)EventEmitter
          20. (class)EventFactory
          21. (object)(class)Flow
          22. (class)FlowBitsPimped
          23. (object)(class)FlowCCByToggle
          24. (class)FlowFactory
          25. (object)(class)FlowFragmentBitsRouter
          26. (class)FlowFragmentFactory
          27. (class)FlowFragmentPimped
          28. (object)(class)Fragment
          29. (class)FragmentFactory
          30. (object)
            FragmentToBitsStates
          31. (object)
            fromGray
          32. (object)
            History
          33. (trait)IMasterSlave
          34. (object)
            LatencyAnalysis
          35. (object)
            LeastSignificantBitSet
          36. (object)
            LFSR
          37. (object)
            MajorityVote
          38. (object)
            master
          39. (object)
            masterWithNull
          40. (object)
            Max
          41. (class)MemPimped
          42. (case class)MemReadPort
          43. (case class)MemWriteCmd
          44. (object)
            Min
          45. (trait)MS
          46. (trait)MSFactory
          47. (object)
            MuxOH
          48. (class)NoData
          49. (object)
            OHMasking
          50. (object)
            OHToUInt
          51. (object)
            PriorityMux
          52. (object)(class)PulseCCByToggle
          53. (case class)ReadRetLinked
          54. (object)
            RegFlow
          55. (object)
            Reverse
          56. (object)
            SetCount
          57. (object)
            slave
          58. (object)
            slaveWithNull
          59. (class)SpinalMapOld
          60. (object)(class)Stream
          61. (object)(class)StreamArbiter
          62. (class)StreamArbiterFactory
          63. (class)StreamBitsPimped
          64. (object)(class)StreamCCByToggle
          65. (object)(class)StreamDemux
          66. (object)(class)StreamDispatcherSequencial
          67. (class)StreamFactory
          68. (object)(class)StreamFifo
          69. (object)(class)StreamFifoCC
          70. (object)(class)StreamFifoZeroLatency
          71. (object)(class)StreamFlowArbiter
          72. (object)(class)StreamFork
          73. (object)
            StreamFork2
          74. (object)
            StreamFragmentArbiter
          75. (object)
            StreamFragmentArbiterAndHeaderAdder
          76. (class)StreamFragmentBitsDispatcher
          77. (case class)StreamFragmentBitsDispatcherElement
          78. (class)StreamFragmentBitsPimped
          79. (class)StreamFragmentFactory
          80. (object)
            StreamFragmentGenerator
          81. (class)StreamFragmentPimped
          82. (object)
            StreamFragmentWidthAdapter
          83. (object)
            StreamJoin
          84. (object)
            StreamMux
          85. (class)StreamToStreamFragmentBits
          86. (object)
            StreamWidthAdapter
          87. (class)StringPimped
          88. (object)(class)Timeout
          89. (object)
            toGray
          90. (class)TraversableOnceAnyPimped
          91. (class)TraversableOnceBoolPimped
          92. (class)TraversableOncePimped
          93. (class)UIntPimper
          94. (object)
            WrapWithReg
          1. spinal.lib.bus
              1. spinal.lib.bus.amba3
                  1. spinal.lib.bus.amba3.ahblite
                    1. (object)(case class)AhbLite3
                    2. (case class)AhbLite3Arbiter
                    3. (case class)AhbLite3Config
                    4. (case class)AhbLite3CrossbarFactory
                    5. (case class)AhbLite3CrossbarSlaveConfig
                    6. (case class)AhbLite3CrossbarSlaveConnection
                    7. (case class)AhbLite3Decoder
                    8. (case class)AhbLite3Master
                    9. (object)(case class)AhbLite3OnChipRam
                    10. (class)AhbLite3OnChipRom
                    11. (object)(case class)AhbLite3ToApb3Bridge
                    12. (object)
                      AhbLite3ToApb3BridgePhase
                  2. spinal.lib.bus.amba3.apb
                    1. (object)(case class)Apb3
                    2. (case class)Apb3Config
                    3. (object)(class)Apb3Decoder
                    4. (object)(case class)Apb3Gpio
                    5. (object)(class)Apb3Router
                    6. (object)(class)Apb3SlaveFactory
                1. spinal.lib.bus.amba4
                    1. spinal.lib.bus.amba4.axi
                      1. (object)(case class)Axi4
                      2. (object)(class)Axi4Ar
                      3. (object)(class)Axi4ArUnburstified
                      4. (object)(class)Axi4Arw
                      5. (object)(class)Axi4ArwUnburstified
                      6. (object)(class)Axi4Aw
                      7. (object)(class)Axi4AwUnburstified
                      8. (class)Axi4Ax
                      9. (object)(class)Axi4AxUnburstified
                      10. (object)(case class)Axi4B
                      11. (trait)Axi4Bus
                      12. (case class)Axi4Config
                      13. (case class)Axi4CrossbarFactory
                      14. (case class)Axi4CrossbarSlaveConfig
                      15. (case class)Axi4CrossbarSlaveConnection
                      16. (object)
                        Axi4Priv
                      17. (object)(case class)Axi4R
                      18. (case class)Axi4ReadOnly
                      19. (object)(case class)Axi4ReadOnlyArbiter
                      20. (case class)Axi4ReadOnlyDecoder
                      21. (case class)Axi4Shared
                      22. (object)(case class)Axi4SharedArbiter
                      23. (case class)Axi4SharedDecoder
                      24. (object)(case class)Axi4SharedOnChipRam
                      25. (object)(case class)Axi4SharedToApb3Bridge
                      26. (object)
                        Axi4SpecRenamer
                      27. (object)
                        Axi4ToApb3BridgePhase
                      28. (object)(case class)Axi4W
                      29. (case class)Axi4WriteOnly
                      30. (object)(case class)Axi4WriteOnlyArbiter
                      31. (case class)Axi4WriteOnlyDecoder
                    2. spinal.lib.bus.amba4.axilite
                      1. (object)(case class)AxiLite4
                      2. (case class)AxiLite4Ax
                      3. (case class)AxiLite4B
                      4. (case class)AxiLite4Config
                      5. (case class)AxiLite4R
                      6. (case class)AxiLite4ReadOnly
                      7. (class)AxiLite4SimpleReadDma
                      8. (case class)AxiLite4SimpleReadDmaCmd
                      9. (class)AxiLite4SlaveFactory
                      10. (object)
                        AxiLite4SpecRenamer
                      11. (case class)AxiLite4W
                      12. (case class)AxiLite4WriteOnly
                  1. spinal.lib.bus.avalon
                    1. (trait)AddressUnits
                    2. (object)(case class)AvalonMM
                    3. (object)(case class)AvalonMMConfig
                    4. (object)(class)AvalonMMSlaveFactory
                    5. (class)AvalonReadDma
                    6. (case class)AvalonReadDmaCmd
                    7. (case class)AvalonReadDmaConfig
                    8. (trait)ScalaEnumeration
                    9. (object)
                      symbols
                    10. (object)
                      words
                  2. spinal.lib.bus.misc
                    1. (trait)AddressMapping
                    2. (trait)BusSlaveFactory
                    3. (trait)BusSlaveFactoryDelayed
                    4. (trait)BusSlaveFactoryElement
                    5. (case class)BusSlaveFactoryNonStopWrite
                    6. (case class)BusSlaveFactoryOnRead
                    7. (case class)BusSlaveFactoryOnWrite
                    8. (case class)BusSlaveFactoryRead
                    9. (case class)BusSlaveFactoryWrite
                    10. (case class)MaskMapping
                    11. (object)(case class)SizeMapping
                2. spinal.lib.com
                    1. spinal.lib.com.i2c
                      1. (case class)I2C
                      2. (class)I2CBitCounter
                      3. (class)I2CFilterInput
                      4. (case class)I2CMasteHALCmd
                      5. (class)I2CMasterHAL
                      6. (object)
                        I2CMasterHALCmdMode
                      7. (case class)I2CMasterHALConfig
                      8. (case class)I2CMasterHALGenerics
                      9. (case class)I2CMasterHALio
                      10. (case class)I2CMasterHALRsp
                      11. (object)
                        I2CMasterHALRspMode
                      12. (class)I2CSlaveHAL
                      13. (case class)I2CSlaveHALCmd
                      14. (object)
                        I2CSlaveHALCmdMode
                      15. (case class)I2CSlaveHALConfig
                      16. (case class)I2CSlaveHALGenerics
                      17. (case class)I2CSlaveHALio
                      18. (case class)I2CSlaveHALRsp
                      19. (object)
                        I2CSlaveHALRspMode
                      20. (class)SCLEdgeDetector
                    2. spinal.lib.com.jtag
                      1. (case class)Jtag
                      2. (class)JtagFsm
                      3. (class)JtagInstruction
                      4. (class)JtagInstructionFlowFragmentPush
                      5. (class)JtagInstructionIdcode
                      6. (class)JtagInstructionRead
                      7. (class)JtagInstructionWrite
                      8. (class)JtagInstructionWriteSimpleExample
                      9. (object)
                        JtagState
                      10. (class)JtagTap
                      11. (trait)JtagTapAccess
                      12. (object)(class)SimpleJtagTap
                    3. spinal.lib.com.uart
                      1. (object)(case class)Apb3UartCtrl
                      2. (object)(class)AvalonMMUartCtrl
                      3. (case class)Uart
                      4. (class)UartCtrl
                      5. (case class)UartCtrlConfig
                      6. (case class)UartCtrlFrameConfig
                      7. (case class)UartCtrlGenerics
                      8. (case class)UartCtrlInitConfig
                      9. (class)UartCtrlIo
                      10. (case class)UartCtrlMemoryMappedConfig
                      11. (class)UartCtrlRx
                      12. (object)
                        UartCtrlRxState
                      13. (class)UartCtrlTx
                      14. (object)
                        UartCtrlTxState
                      15. (object)(class)UartCtrlUsageExample
                      16. (object)
                        UartParityType
                      17. (object)
                        UartStopType
                  1. spinal.lib.cpu
                      1. spinal.lib.cpu.riscv
                          1. spinal.lib.cpu.riscv.impl
                            1. (class)Alu
                            2. (object)
                              AluMain
                            3. (object)
                              async
                            4. (trait)BranchPrediction
                            5. (case class)BranchPredictorLine
                            6. (object)
                              cmdStream_rspFlow
                            7. (object)
                              cmdStream_rspStream
                            8. (class)Core
                            9. (case class)CoreConfig
                            10. (object)(case class)CoreDataBus
                            11. (case class)CoreDataCmd
                            12. (case class)CoreDecodeOutput
                            13. (case class)CoreExecute0Output
                            14. (case class)CoreExecute1Output
                            15. (case class)CoreFetchOutput
                            16. (object)(case class)CoreInstructionBus
                            17. (case class)CoreInstructionCmd
                            18. (case class)CoreInstructionRsp
                            19. (case class)CoreWriteBack0Output
                            20. (trait)DataBusKind
                            21. (class)DataCache
                            22. (case class)DataCacheConfig
                            23. (case class)DataCacheCpuBus
                            24. (case class)DataCacheCpuCmd
                            25. (object)
                              DataCacheCpuCmdKind
                            26. (case class)DataCacheCpuRsp
                            27. (object)
                              DataCacheMain
                            28. (case class)DataCacheMemBus
                            29. (case class)DataCacheMemCmd
                            30. (case class)DataCacheMemRsp
                            31. (object)
                              disable
                            32. (object)
                              dynamic
                            33. (trait)InstructionBusKind
                            34. (class)InstructionCache
                            35. (case class)InstructionCacheConfig
                            36. (case class)InstructionCacheCpuBus
                            37. (case class)InstructionCacheCpuCmd
                            38. (case class)InstructionCacheCpuRsp
                            39. (case class)InstructionCacheFlushBus
                            40. (object)
                              InstructionCacheMain
                            41. (case class)InstructionCacheMemBus
                            42. (case class)InstructionCacheMemCmd
                            43. (case class)InstructionCacheMemRsp
                            44. (case class)IrqUsage
                            45. (trait)RegFileReadKind
                            46. (object)
                              static
                            47. (object)
                              sync
                            48. (object)
                              Utils
                            49. (object)
                              UtilsTest
                            1. spinal.lib.cpu.riscv.impl.bench
                              1. (object)
                                CoreFMaxBench
                              2. (object)
                                CoreFMaxQuartusBench
                              3. (object)
                                CoreUut
                            2. spinal.lib.cpu.riscv.impl.build
                              1. (object)(class)RiscvAhbLite3
                              2. (object)(class)RiscvAvalon
                              3. (object)(class)RiscvAxi4
                            3. spinal.lib.cpu.riscv.impl.extension
                              1. (trait)AhbLite3Provider
                              2. (trait)AvalonProvider
                              3. (class)BarrelShifterFullExtension
                              4. (class)BarrelShifterLightExtension
                              5. (class)CachedDataBusExtension
                              6. (class)CachedInstructionBusExtension
                              7. (class)CoreExtension
                              8. (object)(class)DebugExtension
                              9. (case class)DebugExtensionBus
                              10. (case class)DebugExtensionCmd
                              11. (case class)DebugExtensionIo
                              12. (case class)DebugExtensionRsp
                              13. (class)DivExtension
                              14. (class)MulExtension
                              15. (class)NativeDataBusExtension
                              16. (class)NativeInstructionBusExtension
                              17. (class)SimpleInterruptExtension
                      2. spinal.lib.eda
                          1. spinal.lib.eda.altera
                            1. (class)ApbEmitter
                            2. (class)AvalonEmitter
                            3. (class)ClockDomainEmitter
                            4. (class)ConduitEmitter
                            5. (class)InterruptReceiverEmitter
                            6. (case class)InterruptReceiverTag
                            7. (object)(class)QSysify
                            8. (trait)QSysifyInterfaceEmiter
                            9. (object)
                              QuartusFlow
                            10. (object)
                              QuartusTest
                            11. (class)ResetEmitterEmitter
                            12. (case class)ResetEmitterTag
                            1. spinal.lib.eda.altera.ip
                              1. (case class)alt_inbuf
                              2. (case class)alt_inbuf_diff
                              3. (case class)alt_inbuf_diffGeneric
                              4. (case class)alt_inbufGeneric
                              5. (case class)alt_outbuf
                              6. (case class)alt_outbuf_diff
                              7. (case class)alt_outbuf_diffGeneric
                              8. (case class)alt_outbuf_tri
                              9. (case class)alt_outbuf_tri_diff
                              10. (case class)alt_outbuf_tri_diffGeneric
                              11. (case class)alt_outbuf_triGeneric
                              12. (case class)alt_outbufGeneric
                              13. (trait)BOOLEAN
                              14. (trait)IO_STRANDARD
                              15. (object)
                                NONE
                              16. (object)
                                OFF
                              17. (object)
                                ON
                              18. (object)
                                STD_1_2V
                              19. (object)
                                STD_1_2V_HSTL
                              20. (object)
                                STD_1_2V_HSUL
                              21. (object)
                                STD_NONE
                          2. spinal.lib.eda.mentor
                            1. (object)(class)MentorDo
                            2. (case class)MentorDoComponentTask
                            3. (trait)MentorDoTask
                        1. spinal.lib.experimental
                          1. (object)
                            Macros
                          2. (class)MacrosClass
                          1. spinal.lib.experimental.bus
                              1. spinal.lib.experimental.bus.neutral
                                1. (object)
                                  NeutralStreamDma
                              2. spinal.lib.experimental.bus.sbl
                                1. (case class)SblCmd
                                2. (case class)SblConfig
                                3. (case class)SblReadCmd
                                4. (class)SblReadDma
                                5. (case class)SblReadDmaCmd
                                6. (case class)SblReadRet
                                7. (case class)SblWriteCmd
                            1. spinal.lib.experimental.chisel
                              1. (class)Module
                            2. spinal.lib.experimental.com
                                1. spinal.lib.experimental.com.serial
                                  1. (object)
                                    SerialCheckerConst
                                  2. (class)SerialCheckerPhysical
                                  3. (class)SerialCheckerPhysicalfromSerial
                                  4. (class)SerialCheckerPhysicalToSerial
                                  5. (class)SerialCheckerRx
                                  6. (object)
                                    SerialCheckerRxState
                                  7. (class)SerialCheckerTx
                                  8. (object)
                                    SerialCheckerTxState
                                  9. (object)
                                    SerialLinkConst
                                  10. (class)SerialLinkRx
                                  11. (object)
                                    SerialLinkRxState
                                  12. (class)SerialLinkRxToTx
                                  13. (class)SerialLinkTx
                                  14. (object)
                                    SerialLinkTxState
                                  1. spinal.lib.experimental.com.serial.UnderTest
                                    1. (case class)SerialSafeLayerParam
                                    2. (object)
                                      SerialSafeLayerRxState
                                    3. (class)SerialSafeLayerTx
                                    4. (class)SerialSafelLayerRx
                              1. spinal.lib.experimental.math
                                1. (case class)Floating
                                2. (object)
                                  Floating128
                                3. (object)
                                  Floating16
                                4. (object)
                                  Floating32
                                5. (object)
                                  Floating64
                                6. (object)
                                  FloatingAbs
                                7. (object)
                                  FloatingCompare
                                8. (case class)FloatingCompareResult
                                9. (object)
                                  FloatingToSInt
                                10. (object)
                                  FloatingToUInt
                                11. (case class)RecFloating
                                12. (object)
                                  RecFloating128
                                13. (object)
                                  RecFloating16
                                14. (object)
                                  RecFloating32
                                15. (object)
                                  RecFloating64
                            3. spinal.lib.fsm
                              1. (trait)EntryPoint
                              2. (object)(class)State
                              3. (class)StateBoot
                              4. (trait)StateCompletionTrait
                              5. (class)StateDelay
                              6. (object)
                                StateEntryPoint
                              7. (class)StateFsm
                              8. (class)StateMachine
                              9. (trait)StateMachineAccessor
                              10. (class)StateMachineEnum
                              11. (class)StateMachineSharableRegUInt
                              12. (object)
                                StateMachineSharableUIntKey
                              13. (object)
                                StateMachineSimpleExample
                              14. (object)
                                StateMachineStyle1
                              15. (object)
                                StateMachineStyle2
                              16. (object)
                                StateMachineStyle3
                              17. (object)
                                StateMachineTry2Example
                              18. (object)
                                StateMachineTry3Example
                              19. (object)
                                StateMachineTryExample
                              20. (object)
                                StateMachineWithInnerExample
                              21. (class)StateParallelFsm
                              22. (object)
                                StatesSerialFsm
                            4. spinal.lib.graphic
                              1. (case class)Rgb
                              2. (case class)RgbConfig
                              3. (case class)VideoDma
                              4. (case class)VideoDmaGeneric
                              5. (case class)VideoDmaMem
                              1. spinal.lib.graphic.vga
                                1. (object)(class)AvalonMMVgaCtrl
                                2. (object)
                                  AvalonVgaCtrlCCTest
                                3. (case class)Axi4VgaCtrl
                                4. (case class)Axi4VgaCtrlGenerics
                                5. (object)
                                  Axi4VgaCtrlMain
                                6. (object)(class)BlinkingVgaCtrl
                                7. (case class)Vga
                                8. (object)(case class)VgaCtrl
                                9. (case class)VgaTimings
                                10. (case class)VgaTimingsHV
                            5. spinal.lib.io
                              1. (case class)ReadableOpenDrain
                              2. (case class)TriState
                              3. (object)(case class)TriStateArray
                            6. spinal.lib.math
                              1. (class)MixedDivider
                              2. (case class)MixedDividerCmd
                              3. (case class)MixedDividerRsp
                              4. (class)SignedDivider
                              5. (case class)SignedDividerCmd
                              6. (case class)SignedDividerRsp
                              7. (object)
                                SIntMath
                              8. (class)UnsignedDivider
                              9. (case class)UnsignedDividerCmd
                              10. (case class)UnsignedDividerRsp
                            7. spinal.lib.memory
                                1. spinal.lib.memory.sdram
                                  1. (object)(case class)Axi4SharedSdramCtrl
                                  2. (object)
                                    IS42x320D
                                  3. (object)
                                    MT48LC16M16A2
                                  4. (case class)SdramCtrl
                                  5. (case class)SdramCtrlAxi4SharedContext
                                  6. (case class)SdramCtrlBackendCmd
                                  7. (object)
                                    SdramCtrlBackendTask
                                  8. (case class)SdramCtrlBank
                                  9. (case class)SdramCtrlBus
                                  10. (case class)SdramCtrlCmd
                                  11. (object)
                                    SdramCtrlFrontendState
                                  12. (object)
                                    SdramCtrlMain
                                  13. (case class)SdramCtrlRsp
                                  14. (case class)SdramInterface
                                  15. (case class)SdramLayout
                                  16. (case class)SdramTimings
                              1. spinal.lib.misc
                                1. (case class)InterruptCtrl
                                2. (case class)Prescaler
                                3. (case class)Timer
                                1. spinal.lib.misc.pdm
                                  1. (class)PDMCore
                              2. spinal.lib.soc
                                  1. spinal.lib.soc.pinsec
                                    1. (object)(class)Pinsec
                                    2. (object)(case class)PinsecTimerCtrl
                                    3. (case class)PinsecTimerCtrlExternal
                                1. spinal.lib.system
                                    1. spinal.lib.system.debugger
                                      1. (class)JtagAvalonDebugger
                                      2. (object)
                                        JtagAvalonDebuggerMain
                                      3. (case class)JtagAxi4SharedDebugger
                                      4. (class)JtagBridge
                                      5. (class)SystemDebugger
                                      6. (case class)SystemDebuggerConfig
                                      7. (case class)SystemDebuggerMemBus
                                      8. (case class)SystemDebuggerMemCmd
                                      9. (case class)SystemDebuggerRemoteBus
                                      10. (case class)SystemDebuggerRsp