class icon trait icon trait icon trait icon
    1. spinal
        1. spinal.lib
          1. (object)
            AddWithCarry
          2. (object)
            BIG
          3. (class)BitAggregator
          4. (class)BoolPimped
          5. (object)(class)BufferCC
          6. (object)
            Callable
          7. (object)
            ClearCount
          8. (object)(class)Counter
          9. (object)
            CounterFreeRun
          10. (object)
            CounterMultiRequest
          11. (object)(class)CounterUpDown
          12. (object)
            CountOne
          13. (object)(trait)DataCarrier
          14. (class)DataCarrierFragmentBitsPimped
          15. (class)DataCarrierFragmentPimped
          16. (object)
            Delay
          17. (object)
            DelayEvent
          18. (object)
            DelayWithInit
          19. (trait)Endianness
          20. (object)
            EndiannessSwap
          21. (case class)EventEmitter
          22. (class)EventFactory
          23. (object)(class)Flow
          24. (class)FlowBitsPimped
          25. (object)(class)FlowCCByToggle
          26. (class)FlowFactory
          27. (object)(class)FlowFragmentBitsRouter
          28. (class)FlowFragmentFactory
          29. (class)FlowFragmentPimped
          30. (object)(class)Fragment
          31. (class)FragmentFactory
          32. (object)
            FragmentToBitsStates
          33. (object)
            fromGray
          34. (object)
            GrayCounter
          35. (object)
            History
          36. (trait)IMasterSlave
          37. (object)
            LatencyAnalysis
          38. (object)
            LeastSignificantBitSet
          39. (object)
            LITTLE
          40. (object)
            MajorityVote
          41. (object)
            master
          42. (object)
            masterWithNull
          43. (object)
            Max
          44. (class)MemPimped
          45. (case class)MemReadPort
          46. (case class)MemWriteCmd
          47. (object)
            Min
          48. (trait)MS
          49. (trait)MSFactory
          50. (object)
            MuxOH
          51. (class)NoData
          52. (object)
            OHMasking
          53. (object)
            OHToUInt
          54. (object)
            PriorityMux
          55. (object)(class)PulseCCByToggle
          56. (case class)ReadRetLinked
          57. (object)
            RegFlow
          58. (object)
            ResetCtrl
          59. (object)
            Reverse
          60. (object)
            SetCount
          61. (object)
            slave
          62. (object)
            slaveWithNull
          63. (object)(class)Stream
          64. (object)(class)StreamArbiter
          65. (class)StreamArbiterFactory
          66. (class)StreamBitsPimped
          67. (object)(class)StreamCCByToggle
          68. (object)(class)StreamDemux
          69. (object)(class)StreamDispatcherSequencial
          70. (class)StreamFactory
          71. (object)(class)StreamFifo
          72. (object)(class)StreamFifoCC
          73. (object)(class)StreamFifoLowLatency
          74. (object)(class)StreamFlowArbiter
          75. (object)(class)StreamFork
          76. (object)
            StreamFork2
          77. (object)
            StreamFragmentArbiter
          78. (object)
            StreamFragmentArbiterAndHeaderAdder
          79. (class)StreamFragmentBitsDispatcher
          80. (case class)StreamFragmentBitsDispatcherElement
          81. (class)StreamFragmentBitsPimped
          82. (class)StreamFragmentFactory
          83. (object)
            StreamFragmentGenerator
          84. (class)StreamFragmentPimped
          85. (object)
            StreamFragmentWidthAdapter
          86. (object)
            StreamJoin
          87. (object)
            StreamMux
          88. (class)StreamToStreamFragmentBits
          89. (object)
            StreamWidthAdapter
          90. (class)StringPimped
          91. (object)(class)Timeout
          92. (object)
            toGray
          93. (class)TraversableOnceAnyPimped
          94. (class)TraversableOnceBoolPimped
          95. (class)TraversableOncePimped
          96. (class)UIntPimper
          97. (object)
            WrapWithReg
          1. spinal.lib.bus
              1. spinal.lib.bus.amba3
                  1. spinal.lib.bus.amba3.ahblite
                    1. (object)(case class)AhbLite3
                    2. (case class)AhbLite3Arbiter
                    3. (case class)AhbLite3Config
                    4. (case class)AhbLite3CrossbarFactory
                    5. (case class)AhbLite3CrossbarSlaveConfig
                    6. (case class)AhbLite3CrossbarSlaveConnection
                    7. (case class)AhbLite3Decoder
                    8. (case class)AhbLite3Master
                    9. (object)(case class)AhbLite3OnChipRam
                    10. (class)AhbLite3OnChipRom
                    11. (object)(case class)AhbLite3ToApb3Bridge
                    12. (object)
                      AhbLite3ToApb3BridgePhase
                  2. spinal.lib.bus.amba3.apb
                    1. (object)(case class)Apb3
                    2. (case class)Apb3Config
                    3. (object)(class)Apb3Decoder
                    4. (object)(case class)Apb3Gpio
                    5. (object)(class)Apb3Router
                    6. (object)(class)Apb3SlaveFactory
                1. spinal.lib.bus.amba4
                    1. spinal.lib.bus.amba4.axi
                      1. (object)(case class)Axi4
                      2. (object)(class)Axi4Ar
                      3. (object)(class)Axi4ArUnburstified
                      4. (object)(class)Axi4Arw
                      5. (object)(class)Axi4ArwUnburstified
                      6. (object)(class)Axi4Aw
                      7. (object)(class)Axi4AwUnburstified
                      8. (class)Axi4Ax
                      9. (object)(class)Axi4AxUnburstified
                      10. (object)(case class)Axi4B
                      11. (trait)Axi4Bus
                      12. (case class)Axi4Config
                      13. (case class)Axi4CrossbarFactory
                      14. (case class)Axi4CrossbarSlaveConfig
                      15. (case class)Axi4CrossbarSlaveConnection
                      16. (object)
                        Axi4Priv
                      17. (object)(case class)Axi4R
                      18. (case class)Axi4ReadOnly
                      19. (object)(case class)Axi4ReadOnlyArbiter
                      20. (case class)Axi4ReadOnlyDecoder
                      21. (case class)Axi4ReadOnlyErrorSlave
                      22. (case class)Axi4Shared
                      23. (object)(case class)Axi4SharedArbiter
                      24. (case class)Axi4SharedDecoder
                      25. (case class)Axi4SharedErrorSlave
                      26. (object)(case class)Axi4SharedOnChipRam
                      27. (object)(case class)Axi4SharedToApb3Bridge
                      28. (object)
                        Axi4SpecRenamer
                      29. (object)
                        Axi4ToApb3BridgePhase
                      30. (object)
                        Axi4ToAxi4Shared
                      31. (object)(case class)Axi4W
                      32. (case class)Axi4WriteOnly
                      33. (object)(case class)Axi4WriteOnlyArbiter
                      34. (case class)Axi4WriteOnlyDecoder
                      35. (case class)Axi4WriteOnlyErrorSlave
                    2. spinal.lib.bus.amba4.axilite
                      1. (object)(case class)AxiLite4
                      2. (case class)AxiLite4Ax
                      3. (case class)AxiLite4B
                      4. (case class)AxiLite4Config
                      5. (case class)AxiLite4R
                      6. (case class)AxiLite4ReadOnly
                      7. (class)AxiLite4SimpleReadDma
                      8. (case class)AxiLite4SimpleReadDmaCmd
                      9. (class)AxiLite4SlaveFactory
                      10. (object)
                        AxiLite4SpecRenamer
                      11. (case class)AxiLite4W
                      12. (case class)AxiLite4WriteOnly
                  1. spinal.lib.bus.avalon
                    1. (trait)AddressUnits
                    2. (object)(case class)AvalonMM
                    3. (object)(case class)AvalonMMConfig
                    4. (object)(class)AvalonMMSlaveFactory
                    5. (class)AvalonReadDma
                    6. (case class)AvalonReadDmaCmd
                    7. (case class)AvalonReadDmaConfig
                    8. (trait)ScalaEnumeration
                    9. (object)
                      SYMBOLS
                    10. (object)
                      WORDS
                  2. spinal.lib.bus.misc
                    1. (trait)AddressMapping
                    2. (trait)BusSlaveFactory
                    3. (class)BusSlaveFactoryAddressWrapper
                    4. (case class)BusSlaveFactoryConfig
                    5. (trait)BusSlaveFactoryDelayed
                    6. (trait)BusSlaveFactoryElement
                    7. (case class)BusSlaveFactoryNonStopWrite
                    8. (case class)BusSlaveFactoryOnReadAnyAddress
                    9. (case class)BusSlaveFactoryOnReadAtAddress
                    10. (case class)BusSlaveFactoryOnWriteAnyAddress
                    11. (case class)BusSlaveFactoryOnWriteAtAddress
                    12. (case class)BusSlaveFactoryRead
                    13. (case class)BusSlaveFactoryWrite
                    14. (case class)MaskMapping
                    15. (object)(case class)SizeMapping
                2. spinal.lib.com
                    1. spinal.lib.com.i2c
                      1. (object)(case class)Apb3I2cCtrl
                      2. (case class)I2c
                      3. (object)
                        I2cCtrl
                      4. (class)I2cEdgeDetector
                      5. (class)I2cIoFilter
                      6. (case class)I2cMasterMemoryMappedGenerics
                      7. (class)I2cSlave
                      8. (case class)I2cSlaveBus
                      9. (case class)I2cSlaveCmd
                      10. (object)
                        I2cSlaveCmdMode
                      11. (case class)I2cSlaveConfig
                      12. (case class)I2cSlaveGenerics
                      13. (case class)I2cSlaveIo
                      14. (case class)I2cSlaveMemoryMappedGenerics
                      15. (case class)I2cSlaveRsp
                    2. spinal.lib.com.jtag
                      1. (case class)Jtag
                      2. (class)JtagFsm
                      3. (class)JtagInstruction
                      4. (class)JtagInstructionFlowFragmentPush
                      5. (class)JtagInstructionIdcode
                      6. (class)JtagInstructionRead
                      7. (class)JtagInstructionWrite
                      8. (class)JtagInstructionWriteSimpleExample
                      9. (object)
                        JtagState
                      10. (class)JtagTap
                      11. (trait)JtagTapAccess
                      12. (object)(class)SimpleJtagTap
                    3. spinal.lib.com.sio
                      1. (case class)Sio
                    4. spinal.lib.com.spi
                      1. (object)(case class)Apb3SpiMasterCtrl
                      2. (object)(case class)Apb3SpiSlaveCtrl
                      3. (case class)SpiKind
                      4. (case class)SpiMaster
                      5. (case class)SpiMasterCmd
                      6. (case class)SpiMasterCtrl
                      7. (case class)SpiMasterCtrlCmdData
                      8. (object)
                        SpiMasterCtrlCmdMode
                      9. (case class)SpiMasterCtrlCmdSs
                      10. (case class)SpiMasterCtrlConfig
                      11. (case class)SpiMasterCtrlGenerics
                      12. (case class)SpiMasterCtrlMemoryMappedConfig
                      13. (case class)SpiSlave
                      14. (object)(case class)SpiSlaveCtrl
                      15. (case class)SpiSlaveCtrlGenerics
                      16. (case class)SpiSlaveCtrlIo
                      17. (case class)SpiSlaveCtrlMemoryMappedConfig
                    5. spinal.lib.com.uart
                      1. (object)(case class)Apb3UartCtrl
                      2. (object)(class)AvalonMMUartCtrl
                      3. (case class)Uart
                      4. (class)UartCtrl
                      5. (case class)UartCtrlConfig
                      6. (case class)UartCtrlFrameConfig
                      7. (case class)UartCtrlGenerics
                      8. (case class)UartCtrlInitConfig
                      9. (class)UartCtrlIo
                      10. (case class)UartCtrlMemoryMappedConfig
                      11. (class)UartCtrlRx
                      12. (object)
                        UartCtrlRxState
                      13. (class)UartCtrlTx
                      14. (object)
                        UartCtrlTxState
                      15. (object)(class)UartCtrlUsageExample
                      16. (object)
                        UartParityType
                      17. (object)
                        UartStopType
                  1. spinal.lib.cpu
                      1. spinal.lib.cpu.riscv
                          1. spinal.lib.cpu.riscv.impl
                            1. (class)Alu
                            2. (object)
                              AluMain
                            3. (object)
                              async
                            4. (trait)BranchPrediction
                            5. (case class)BranchPredictorLine
                            6. (object)
                              cmdStream_rspFlow
                            7. (object)
                              cmdStream_rspStream
                            8. (object)(case class)CoreDataBus
                            9. (case class)CoreDataCmd
                            10. (case class)CoreDecodeOutput
                            11. (case class)CoreExecute0Output
                            12. (case class)CoreExecute1Output
                            13. (case class)CoreFetchOutput
                            14. (object)(case class)CoreInstructionBus
                            15. (case class)CoreInstructionCmd
                            16. (case class)CoreInstructionRsp
                            17. (case class)CoreWriteBack0Output
                            18. (trait)DataBusKind
                            19. (class)DataCache
                            20. (case class)DataCacheConfig
                            21. (case class)DataCacheCpuBus
                            22. (case class)DataCacheCpuCmd
                            23. (object)
                              DataCacheCpuCmdKind
                            24. (case class)DataCacheCpuRsp
                            25. (object)
                              DataCacheMain
                            26. (case class)DataCacheMemBus
                            27. (case class)DataCacheMemCmd
                            28. (case class)DataCacheMemRsp
                            29. (object)
                              disable
                            30. (object)
                              dynamic
                            31. (trait)InstructionBusKind
                            32. (class)InstructionCache
                            33. (case class)InstructionCacheConfig
                            34. (case class)InstructionCacheCpuBus
                            35. (case class)InstructionCacheCpuCmd
                            36. (case class)InstructionCacheCpuRsp
                            37. (case class)InstructionCacheFlushBus
                            38. (object)
                              InstructionCacheMain
                            39. (case class)InstructionCacheMemBus
                            40. (case class)InstructionCacheMemCmd
                            41. (case class)InstructionCacheMemRsp
                            42. (case class)IrqUsage
                            43. (trait)RegFileReadKind
                            44. (object)(class)RiscvCore
                            45. (case class)RiscvCoreConfig
                            46. (object)
                              static
                            47. (object)
                              sync
                            48. (object)
                              Utils
                            49. (object)
                              UtilsTest
                            1. spinal.lib.cpu.riscv.impl.bench
                              1. (object)
                                CoreFMaxBench
                              2. (object)
                                CoreFMaxQuartusBench
                              3. (object)
                                CoreUut
                            2. spinal.lib.cpu.riscv.impl.build
                              1. (object)(class)RiscvAhbLite3
                              2. (object)(class)RiscvAvalon
                              3. (object)(class)RiscvAxi4
                            3. spinal.lib.cpu.riscv.impl.extension
                              1. (trait)AhbLite3Provider
                              2. (trait)AvalonProvider
                              3. (class)BarrelShifterFullExtension
                              4. (class)BarrelShifterLightExtension
                              5. (class)CachedDataBusExtension
                              6. (class)CachedInstructionBusExtension
                              7. (class)CoreExtension
                              8. (object)(class)DebugExtension
                              9. (case class)DebugExtensionBus
                              10. (case class)DebugExtensionCmd
                              11. (case class)DebugExtensionIo
                              12. (case class)DebugExtensionRsp
                              13. (class)DivExtension
                              14. (class)MulExtension
                              15. (class)NativeDataBusExtension
                              16. (class)NativeInstructionBusExtension
                              17. (class)SimpleInterruptExtension
                      2. spinal.lib.eda
                          1. spinal.lib.eda.altera
                            1. (class)ApbEmitter
                            2. (class)AvalonEmitter
                            3. (class)ClockDomainEmitter
                            4. (class)ConduitEmitter
                            5. (class)InterruptReceiverEmitter
                            6. (case class)InterruptReceiverTag
                            7. (object)(class)QSysify
                            8. (trait)QSysifyInterfaceEmiter
                            9. (object)
                              QuartusFlow
                            10. (object)
                              QuartusTest
                            11. (class)ResetEmitterEmitter
                            12. (case class)ResetEmitterTag
                            1. spinal.lib.eda.altera.ip
                              1. (case class)alt_inbuf
                              2. (case class)alt_inbuf_diff
                              3. (case class)alt_inbuf_diffGeneric
                              4. (case class)alt_inbufGeneric
                              5. (case class)alt_outbuf
                              6. (case class)alt_outbuf_diff
                              7. (case class)alt_outbuf_diffGeneric
                              8. (case class)alt_outbuf_tri
                              9. (case class)alt_outbuf_tri_diff
                              10. (case class)alt_outbuf_tri_diffGeneric
                              11. (case class)alt_outbuf_triGeneric
                              12. (case class)alt_outbufGeneric
                              13. (trait)BOOLEAN
                              14. (trait)IO_STRANDARD
                              15. (object)
                                NONE
                              16. (object)
                                OFF
                              17. (object)
                                ON
                              18. (object)
                                STD_1_2V
                              19. (object)
                                STD_1_2V_HSTL
                              20. (object)
                                STD_1_2V_HSUL
                              21. (object)
                                STD_NONE
                          2. spinal.lib.eda.bench
                            1. (object)
                              AlteraStdTargets
                            2. (object)
                              Bench
                            3. (object)
                              MicrosemiStdTargets
                            4. (trait)Report
                            5. (trait)Rtl
                            6. (trait)Target
                            7. (object)
                              XilinxStdTargets
                          3. spinal.lib.eda.mentor
                            1. (object)(class)MentorDo
                            2. (case class)MentorDoComponentTask
                            3. (trait)MentorDoTask
                          4. spinal.lib.eda.microsemi
                            1. (object)
                              LiberoFlow
                          5. spinal.lib.eda.xilinx
                            1. (object)
                              QuartusTest
                            2. (object)
                              VivadoFlow
                        1. spinal.lib.experimental
                          1. (object)
                            Macros
                          2. (class)MacrosClass
                          1. spinal.lib.experimental.bus
                              1. spinal.lib.experimental.bus.amba3
                                  1. spinal.lib.experimental.bus.amba3.apb
                                    1. (object)
                                      Apb3OverStream
                                1. spinal.lib.experimental.bus.neutral
                                  1. (object)
                                    NeutralStreamDma
                                2. spinal.lib.experimental.bus.sbl
                                  1. (case class)SblCmd
                                  2. (case class)SblConfig
                                  3. (case class)SblReadCmd
                                  4. (class)SblReadDma
                                  5. (case class)SblReadDmaCmd
                                  6. (case class)SblReadRet
                                  7. (case class)SblWriteCmd
                              2. spinal.lib.experimental.chisel
                                1. (class)Module
                              3. spinal.lib.experimental.com
                                  1. spinal.lib.experimental.com.serial
                                    1. (object)
                                      SerialCheckerConst
                                    2. (class)SerialCheckerPhysical
                                    3. (class)SerialCheckerPhysicalfromSerial
                                    4. (class)SerialCheckerPhysicalToSerial
                                    5. (class)SerialCheckerRx
                                    6. (object)
                                      SerialCheckerRxState
                                    7. (class)SerialCheckerTx
                                    8. (object)
                                      SerialCheckerTxState
                                    9. (object)
                                      SerialLinkConst
                                    10. (class)SerialLinkRx
                                    11. (object)
                                      SerialLinkRxState
                                    12. (class)SerialLinkRxToTx
                                    13. (class)SerialLinkTx
                                    14. (object)
                                      SerialLinkTxState
                                    1. spinal.lib.experimental.com.serial.UnderTest
                                      1. (case class)SerialSafeLayerParam
                                      2. (object)
                                        SerialSafeLayerRxState
                                      3. (class)SerialSafeLayerTx
                                      4. (class)SerialSafelLayerRx
                                1. spinal.lib.experimental.math
                                  1. (case class)Floating
                                  2. (object)
                                    Floating128
                                  3. (object)
                                    Floating16
                                  4. (object)
                                    Floating32
                                  5. (object)
                                    Floating64
                                  6. (object)
                                    FloatingAbs
                                  7. (object)
                                    FloatingCompare
                                  8. (case class)FloatingCompareResult
                                  9. (object)
                                    FloatingToSInt
                                  10. (object)
                                    FloatingToUInt
                                  11. (case class)RecFloating
                                  12. (object)
                                    RecFloating128
                                  13. (object)
                                    RecFloating16
                                  14. (object)
                                    RecFloating32
                                  15. (object)
                                    RecFloating64
                              4. spinal.lib.fsm
                                1. (trait)EntryPoint
                                2. (object)(class)State
                                3. (class)StateBoot
                                4. (trait)StateCompletionTrait
                                5. (class)StateDelay
                                6. (object)
                                  StateEntryPoint
                                7. (class)StateFsm
                                8. (class)StateMachine
                                9. (trait)StateMachineAccessor
                                10. (class)StateMachineEnum
                                11. (class)StateMachineSharableRegUInt
                                12. (object)
                                  StateMachineSharableUIntKey
                                13. (object)
                                  StateMachineSimpleExample
                                14. (object)
                                  StateMachineStyle1
                                15. (object)
                                  StateMachineStyle2
                                16. (object)
                                  StateMachineStyle3
                                17. (object)
                                  StateMachineTry2Example
                                18. (object)
                                  StateMachineTry3Example
                                19. (object)
                                  StateMachineTry6Example
                                20. (object)
                                  StateMachineTryExample
                                21. (object)
                                  StateMachineWithInnerExample
                                22. (class)StateParallelFsm
                                23. (object)
                                  StatesSerialFsm
                              5. spinal.lib.graphic
                                1. (object)(case class)Rgb
                                2. (case class)RgbConfig
                                3. (case class)VideoDma
                                4. (case class)VideoDmaGeneric
                                5. (case class)VideoDmaMem
                                1. spinal.lib.graphic.vga
                                  1. (object)(class)AvalonMMVgaCtrl
                                  2. (object)
                                    AvalonVgaCtrlCCTest
                                  3. (case class)Axi4VgaCtrl
                                  4. (case class)Axi4VgaCtrlGenerics
                                  5. (object)
                                    Axi4VgaCtrlMain
                                  6. (object)(class)BlinkingVgaCtrl
                                  7. (case class)Vga
                                  8. (object)(case class)VgaCtrl
                                  9. (case class)VgaTimings
                                  10. (case class)VgaTimingsHV
                              6. spinal.lib.io
                                1. (object)
                                  InOutWrapper
                                2. (case class)ReadableOpenDrain
                                3. (case class)TriState
                                4. (object)(case class)TriStateArray
                                5. (case class)TriStateOutput
                              7. spinal.lib.math
                                1. (class)MixedDivider
                                2. (case class)MixedDividerCmd
                                3. (case class)MixedDividerRsp
                                4. (class)SignedDivider
                                5. (case class)SignedDividerCmd
                                6. (case class)SignedDividerRsp
                                7. (object)
                                  SIntMath
                                8. (class)UnsignedDivider
                                9. (case class)UnsignedDividerCmd
                                10. (case class)UnsignedDividerRsp
                              8. spinal.lib.memory
                                  1. spinal.lib.memory.sdram
                                    1. (object)(case class)Axi4SharedSdramCtrl
                                    2. (object)
                                      IS42x320D
                                    3. (object)
                                      MT48LC16M16A2
                                    4. (case class)SdramCtrl
                                    5. (case class)SdramCtrlAxi4SharedContext
                                    6. (case class)SdramCtrlBackendCmd
                                    7. (object)
                                      SdramCtrlBackendTask
                                    8. (case class)SdramCtrlBank
                                    9. (case class)SdramCtrlBus
                                    10. (case class)SdramCtrlCmd
                                    11. (object)
                                      SdramCtrlFrontendState
                                    12. (object)
                                      SdramCtrlMain
                                    13. (case class)SdramCtrlRsp
                                    14. (case class)SdramInterface
                                    15. (case class)SdramLayout
                                    16. (case class)SdramTimings
                                    17. (object)
                                      W9825G6JH6
                                1. spinal.lib.misc
                                  1. (case class)InterruptCtrl
                                  2. (case class)Prescaler
                                  3. (case class)Timer
                                  1. spinal.lib.misc.pdm
                                    1. (class)PDMCore
                                2. spinal.lib.soc
                                    1. spinal.lib.soc.pinsec
                                      1. (object)(class)Pinsec
                                      2. (object)(case class)PinsecConfig
                                      3. (object)(case class)PinsecTimerCtrl
                                      4. (case class)PinsecTimerCtrlExternal
                                  1. spinal.lib.system
                                      1. spinal.lib.system.debugger
                                        1. (class)JtagAvalonDebugger
                                        2. (object)
                                          JtagAvalonDebuggerMain
                                        3. (case class)JtagAxi4SharedDebugger
                                        4. (class)JtagBridge
                                        5. (class)SystemDebugger
                                        6. (case class)SystemDebuggerConfig
                                        7. (case class)SystemDebuggerMemBus
                                        8. (case class)SystemDebuggerMemCmd
                                        9. (case class)SystemDebuggerRemoteBus
                                        10. (case class)SystemDebuggerRsp
                                    1. spinal.lib.tools
                                      1. (object)
                                        BigIntToListBoolean