Package

spinal.lib.bus

bmb

Permalink

package bmb

Visibility
  1. Public
  2. All

Type Members

  1. class Axi4SharedToBmb extends Component

    Permalink
  2. case class Bmb(p: BmbParameter) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  3. case class BmbAccessCapabilities(addressWidth: Int, dataWidth: Int, sourceWidthMax: Int = Int.MaxValue, contextWidthMax: Int = Int.MaxValue, lengthWidthMax: Int = Int.MaxValue, alignment: Kind = BmbParameter.BurstAlignement.WORD, alignmentMin: Int = 0, accessLatencyMin: Int = 1, canRead: Boolean = true, canWrite: Boolean = true, canMask: Boolean = true, canExclusive: Boolean = false, maximumPendingTransaction: Int = Int.MaxValue, canInvalidate: Boolean = false, canSync: Boolean = false) extends Product with Serializable

    Permalink
  4. case class BmbAccessParameter(addressWidth: Int, dataWidth: Int, sources: LinkedHashMap[Int, BmbSourceParameter] = ...) extends Product with Serializable

    Permalink
  5. case class BmbAck(p: BmbParameter) extends Bundle with Product with Serializable

    Permalink
  6. case class BmbAlignedSpliter(ip: BmbParameter, lengthMax: Int) extends Component with Product with Serializable

    Permalink
  7. case class BmbAligner(ip: BmbParameter, alignmentWidth: Int) extends Component with Product with Serializable

    Permalink
  8. case class BmbArbiter(inputsParameter: Seq[BmbParameter], outputParameter: BmbParameter, lowerFirstPriority: Boolean, pendingInvMax: Int = 0) extends Component with Product with Serializable

    Permalink
  9. class BmbBridgeGenerator extends Area

    Permalink
  10. case class BmbCcFifo(p: BmbParameter, cmdDepth: Int, rspDepth: Int, inputCd: ClockDomain, outputCd: ClockDomain) extends Component with Product with Serializable

    Permalink
  11. case class BmbCcToggle(p: BmbParameter, inputCd: ClockDomain, outputCd: ClockDomain) extends Component with Product with Serializable

    Permalink
  12. case class BmbClintGenerator(apbOffset: Handle[BigInt] = Unset)(implicit interconnect: BmbInterconnectGenerator, decoder: BmbImplicitPeripheralDecoder = null) extends Area with Product with Serializable

    Permalink
  13. case class BmbCmd(p: BmbParameter) extends Bundle with Product with Serializable

    Permalink
  14. case class BmbContextRemover(p: BmbParameter, pendingMax: Int) extends Component with Product with Serializable

    Permalink
  15. case class BmbDecoder(p: BmbParameter, mappings: Seq[AddressMapping], capabilities: Seq[BmbParameter], pendingMax: Int = 63, pipelinedDecoder: Boolean = false, pipelinedHalfPipe: Boolean = false) extends Component with Product with Serializable

    Permalink
  16. case class BmbDecoderOutOfOrder(p: BmbParameter, mappings: Seq[AddressMapping], capabilities: Seq[BmbParameter], pendingRspTransactionMax: Int) extends Component with Product with Serializable

    Permalink
  17. case class BmbDecoderPerSource(p: BmbParameter, mappings: Seq[AddressMapping], capabilities: Seq[BmbParameter], pendingMax: Int = 63) extends Component with Product with Serializable

    Permalink
  18. case class BmbDownSizerBridge(inputParameter: BmbParameter, outputParameter: BmbParameter) extends Component with Product with Serializable

    Permalink
  19. case class BmbEg4S20Bram32K(p: BmbParameter, hexInit: String = null) extends Component with Product with Serializable

    Permalink
  20. case class BmbErrorSlave(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  21. case class BmbExclusiveMonitor(inputParameter: BmbParameter, pendingWriteMax: Int) extends Component with Product with Serializable

    Permalink
  22. case class BmbExclusiveMonitorGenerator()(implicit interconnect: BmbInterconnectGenerator) extends Area with Product with Serializable

    Permalink
  23. case class BmbIce40Spram(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  24. case class BmbImplicitDebugDecoder(bus: Handle[Bmb]) extends Product with Serializable

    Permalink
  25. case class BmbImplicitPeripheralDecoder(bus: Handle[Bmb]) extends Product with Serializable

    Permalink
  26. class BmbInterconnectGenerator extends Area

    Permalink
  27. case class BmbInv(p: BmbParameter) extends Bundle with Product with Serializable

    Permalink
  28. case class BmbInvalidateMonitor(inputParameter: BmbParameter, pendingInvMax: Int) extends Component with Product with Serializable

    Permalink
  29. case class BmbInvalidateMonitorGenerator(withExternalInvalidation: Boolean = false)(implicit interconnect: BmbInterconnectGenerator) extends Area with Product with Serializable

    Permalink
  30. class BmbInvalidationArbiter extends Component

    Permalink
  31. case class BmbInvalidationParameter(invalidateLength: Int = 0, invalidateAlignment: Kind = BmbParameter.BurstAlignement.WORD) extends Product with Serializable

    Permalink
  32. case class BmbLengthFixer(ip: BmbParameter, fixedWidth: Int) extends Component with Product with Serializable

    Permalink
  33. case class BmbMasterParameter(idMapping: Seq[BmbMasterParameterIdMapping]) extends Product with Serializable

    Permalink
  34. case class BmbMasterParameterIdMapping(range: AddressMapping, maximumPendingTransactionPerId: Int) extends Product with Serializable

    Permalink
  35. case class BmbOnChipRam(p: BmbParameter, size: BigInt, hexOffset: BigInt = null, hexInit: String = null) extends Component with Product with Serializable

    Permalink
  36. case class BmbOnChipRamMultiPort(portsParameter: Seq[BmbParameter], size: BigInt, hexOffset: BigInt = null, hexInit: String = null) extends Component with Product with Serializable

    Permalink
  37. case class BmbParameter(access: BmbAccessParameter, invalidation: BmbInvalidationParameter) extends Product with Serializable

    Permalink
  38. case class BmbPlicGenerator(apbOffset: Handle[BigInt] = Unset)(implicit interconnect: BmbInterconnectGenerator, decoder: BmbImplicitPeripheralDecoder = null) extends Area with InterruptCtrlGeneratorI with Product with Serializable

    Permalink
  39. case class BmbRsp(p: BmbParameter) extends Bundle with Product with Serializable

    Permalink
  40. case class BmbSlaveFactory(bus: Bmb) extends BusSlaveFactoryDelayed with Product with Serializable

    Permalink
  41. case class BmbSlaveParameter(maximumPendingTransactionPerId: Int) extends Product with Serializable

    Permalink
  42. case class BmbSourceDecoder(inputParameter: BmbParameter) extends Component with Product with Serializable

    Permalink
  43. case class BmbSourceParameter(contextWidth: Int, lengthWidth: Int, alignment: Kind = BmbParameter.BurstAlignement.WORD, alignmentMin: Int = 0, accessLatencyMin: Int = 1, canRead: Boolean = true, canWrite: Boolean = true, canMask: Boolean = true, canExclusive: Boolean = false, withCachedRead: Boolean = false, maximumPendingTransaction: Int = Int.MaxValue, canInvalidate: Boolean = false, canSync: Boolean = false) extends Product with Serializable

    Permalink
  44. case class BmbSourceRemover(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  45. case class BmbSync(p: BmbParameter) extends Bundle with Product with Serializable

    Permalink
  46. case class BmbSyncRemover(p: BmbParameter, rspQueueSize: Int = 8, pendingMax: Int = 16) extends Component with Product with Serializable

    Permalink
  47. case class BmbToApb3Bridge(apb3Config: Apb3Config, bmbParameter: BmbParameter, pipelineBridge: Boolean) extends Component with Product with Serializable

    Permalink
  48. case class BmbToApb3Generator(mapping: Handle[AddressMapping] = Unset)(implicit interconnect: BmbInterconnectGenerator, decoder: BmbImplicitPeripheralDecoder = null) extends Area with Product with Serializable

    Permalink
  49. case class BmbToAxi4ReadOnlyBridge(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  50. case class BmbToAxi4SharedBridge(bmbConfig: BmbParameter, pendingMax: Int = 31, halfRateAw: Boolean = true) extends Component with Product with Serializable

    Permalink
  51. case class BmbToAxi4SharedBridgeAssumeInOrder(bmbConfig: BmbParameter, pendingMax: Int = 31, halfRateAw: Boolean = true) extends Component with Product with Serializable

    Permalink
  52. case class BmbToAxi4WriteOnlyBridge(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  53. case class BmbToWishbone(p: BmbParameter) extends Component with Product with Serializable

    Permalink
  54. case class BmbUnburstify(inputParameter: BmbParameter) extends Component with Product with Serializable

    Permalink
  55. case class BmbUpSizerBridge(inputParameter: BmbParameter, outputParameter: BmbParameter) extends Component with Product with Serializable

    Permalink
  56. case class BmbWriteRetainer(p: BmbParameter, queueSize: Int) extends Component with Product with Serializable

    Permalink

Value Members

  1. object Axi4SharedToBmb

    Permalink
  2. object Bmb extends Serializable

    Permalink
  3. object BmbAlignedSpliter extends Serializable

    Permalink
  4. object BmbAligner extends Serializable

    Permalink
  5. object BmbBridgeGenerator

    Permalink
  6. object BmbContextRemover extends Serializable

    Permalink
  7. object BmbDecoderOutOfOrder extends Serializable

    Permalink
  8. object BmbDownSizerBridge extends Serializable

    Permalink
  9. object BmbEg4S20Bram32K extends Serializable

    Permalink
  10. object BmbExclusiveMonitor extends Serializable

    Permalink
  11. object BmbExclusiveMonitorState extends SpinalEnum

    Permalink
  12. object BmbIce40Spram extends Serializable

    Permalink
  13. object BmbInterconnectGenerator

    Permalink
  14. object BmbInvalidateMonitor extends Serializable

    Permalink
  15. object BmbLengthFixer extends Serializable

    Permalink
  16. object BmbOnChipRam extends Serializable

    Permalink
  17. object BmbOnChipRamMultiPort extends Serializable

    Permalink
  18. object BmbParameter extends Serializable

    Permalink
  19. object BmbSlaveFactory extends Serializable

    Permalink
  20. object BmbSourceDecoder extends Serializable

    Permalink
  21. object BmbSourceParameter extends Serializable

    Permalink
  22. object BmbSourceRemover extends Serializable

    Permalink
  23. object BmbSyncRemover extends Serializable

    Permalink
  24. object BmbSyncRemoverTester extends App

    Permalink
  25. object BmbToApb3Bridge extends Serializable

    Permalink
  26. object BmbToAxi4SharedBridge extends Serializable

    Permalink
  27. object BmbToWishbone extends Serializable

    Permalink
  28. object BmbUnburstify extends Serializable

    Permalink
  29. object BmbUpSizerBridge extends Serializable

    Permalink
  30. object WeakConnector

    Permalink
  31. package sim

    Permalink

Ungrouped