Package

spinal.lib.memory.sdram

xdr

Permalink

package xdr

Visibility
  1. Public
  2. All

Type Members

  1. case class Backend(cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  2. case class BmbAdapter(pp: BmbPortParameter, cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  3. case class BmbPortParameter(bmb: BmbParameter, clockDomain: ClockDomain, cmdBufferSize: Int, dataBufferSize: Int, rspBufferSize: Int) extends Product with Serializable

    Permalink
  4. case class BmbToCorePort(ip: BmbParameter, cpp: CorePortParameter, cpa: CoreParameterAggregate, pp: BmbPortParameter) extends Component with Product with Serializable

    Permalink
  5. case class Core(cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  6. case class CoreCmd(cpp: CorePortParameter, cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  7. case class CoreConfig(cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  8. case class CoreParameter(portTockenMin: Int, portTockenMax: Int, stationCount: Int = 2, bytePerTaskMax: Int = 64, frustrationMax: Int = 8, timingWidth: Int, refWidth: Int, writeLatencies: List[Int], readLatencies: List[Int]) extends Product with Serializable

    Permalink
  9. case class CoreParameterAggregate(cp: CoreParameter, pl: PhyLayout, cpp: Seq[CorePortParameter]) extends Product with Serializable

    Permalink
  10. case class CorePort(cpp: CorePortParameter, cpa: CoreParameterAggregate) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  11. case class CorePortParameter(contextWidth: Int, writeTockenInterfaceWidth: Int, writeTockenBufferSize: Int, canRead: Boolean, canWrite: Boolean) extends Product with Serializable

    Permalink
  12. case class CoreRsp(cpp: CorePortParameter, cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  13. case class CoreTask(cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  14. case class CoreTasks(cpa: CoreParameterAggregate) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  15. case class CoreWriteData(cpp: CorePortParameter, cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  16. case class CtrlParameter(core: CoreParameter, ports: Seq[BmbPortParameter]) extends Product with Serializable

    Permalink
  17. class CtrlWithoutPhy extends Component

    Permalink
  18. class CtrlWithoutPhyBmb extends Component

    Permalink
  19. case class InitCmd(cpa: CoreParameterAggregate) extends Bundle with Product with Serializable

    Permalink
  20. case class PhyLayout(sdram: SdramLayout, phaseCount: Int, dataRate: Int, outputLatency: Int, readDelay: Int, writeDelay: Int, cmdToDqDelayDelta: Int, transferPerBurst: Int) extends Product with Serializable

    Permalink
  21. case class Refresher(cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  22. case class SdramAddress(l: SdramLayout) extends Bundle with Product with Serializable

    Permalink
  23. case class SdramTiming(generation: Int, RFC: Int, RAS: Int, RP: Int, RCD: Int, WTR: Int, WTP: Int, RTP: Int, RRD: Int, REF: Int, FAW: Int) extends Product with Serializable

    Permalink
  24. case class SdramXdrIo(g: SdramLayout) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  25. case class SdramXdrPhyCtrl(pl: PhyLayout) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  26. case class SdramXdrPhyCtrlPhase(pl: PhyLayout) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  27. case class SoftBus(cpa: CoreParameterAggregate) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  28. case class SoftConfig(RFC: Int, RAS: Int, RP: Int, WTP: Int, RCD: Int, WTR: Int, RTW: Int, RTP: Int, RRD: Int, REF: Int, FAW: Int) extends Product with Serializable

    Permalink
  29. case class Tasker(cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  30. case class Timing() extends Product with Serializable

    Permalink
  31. case class TimingEnforcer(cpa: CoreParameterAggregate) extends Component with Product with Serializable

    Permalink
  32. case class Timings(bootRefreshCount: Int, tPOW: TimeNumber, tREF: TimeNumber, tRFC: TimeNumber, tRAS: TimeNumber, tRP: TimeNumber, tRCD: TimeNumber, cMRD: Int, tWR: TimeNumber, cWR: Int) extends Product with Serializable

    Permalink
  33. case class mt41k128m16jt_model() extends BlackBox with Product with Serializable

    Permalink
  34. case class mt48lc16m16a2_model() extends BlackBox with Product with Serializable

    Permalink

Value Members

  1. object BmbAdapter extends Serializable

    Permalink
  2. object CtrlWithPhy

    Permalink
  3. object CtrlWithoutPhyBmb

    Permalink
  4. object FrontendCmdOutputKind extends SpinalEnum

    Permalink
  5. object SdramTiming extends Serializable

    Permalink
  6. package phy

    Permalink

Ungrouped