Package

spinal

lib

Permalink

package lib

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. lib
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. class BitAggregator extends AnyRef

    Permalink
  2. class BoolPimped extends AnyRef

    Permalink
  3. class BufferCC[T <: Data] extends Component

    Permalink
  4. class Counter extends ImplicitArea[UInt]

    Permalink
  5. class CounterUpDown extends ImplicitArea[UInt]

    Permalink
  6. trait DataCarrier[T <: Data] extends AnyRef

    Permalink
  7. class DataCarrierFragmentBitsPimped extends AnyRef

    Permalink
  8. class DataCarrierFragmentPimped[T <: Data] extends AnyRef

    Permalink
  9. case class DataOr[T <: Data](dataType: HardType[T]) extends Area with Product with Serializable

    Permalink
  10. sealed trait Endianness extends AnyRef

    Permalink

    Endianness enumeration

  11. type Event = Stream[NoData]

    Permalink
  12. case class EventEmitter(on: Event) extends Product with Serializable

    Permalink
  13. class EventFactory extends MSFactory

    Permalink
  14. class Flow[T <: Data] extends Bundle with IMasterSlave with DataCarrier[T]

    Permalink
  15. class FlowBitsPimped extends AnyRef

    Permalink
  16. class FlowCCByToggle[T <: Data] extends Component

    Permalink
  17. class FlowFactory extends MSFactory

    Permalink
  18. class FlowFragmentBitsRouter extends AnyRef

    Permalink
  19. class FlowFragmentFactory extends MSFactory

    Permalink
  20. class FlowFragmentPimped[T <: Data] extends AnyRef

    Permalink
  21. class Fragment[T <: Data] extends Bundle

    Permalink
  22. class FragmentFactory extends AnyRef

    Permalink
  23. trait IMasterSlave extends AnyRef

    Permalink
  24. trait MS extends AnyRef

    Permalink
  25. trait MSFactory extends AnyRef

    Permalink
  26. class MemPimped[T <: Data] extends AnyRef

    Permalink
  27. case class MemReadPort[T <: Data](dataType: T, addressWidth: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  28. case class MemWriteCmd[T <: Data](mem: Mem[T]) extends Bundle with Product with Serializable

    Permalink
  29. case class MemWriteCmdWithMask[T <: Data](mem: Mem[T]) extends Bundle with Product with Serializable

    Permalink
  30. class NoData extends Bundle

    Permalink
  31. class PulseCCByToggle extends Component

    Permalink
  32. case class ReadRetLinked[T <: Data, T2 <: Data](readType: HardType[T], linkedType: HardType[T2]) extends Bundle with Product with Serializable

    Permalink
  33. type ScalaStream[T] = scala.collection.immutable.Stream[T]

    Permalink
  34. class Stream[T <: Data] extends Bundle with IMasterSlave with DataCarrier[T]

    Permalink
  35. class StreamArbiter[T <: Data] extends Component

    Permalink

    A StreamArbiter is like a StreamMux, but with built-in complex selection logic that can arbitrate input streams based on a schedule or handle fragmented streams.

    A StreamArbiter is like a StreamMux, but with built-in complex selection logic that can arbitrate input streams based on a schedule or handle fragmented streams. Use a StreamArbiterFactory to create instances of this class.

  36. class StreamArbiterFactory extends AnyRef

    Permalink
  37. class StreamBitsPimped extends AnyRef

    Permalink
  38. class StreamCCByToggle[T <: Data] extends Component

    Permalink
  39. class StreamDemux[T <: Data] extends Component

    Permalink
  40. class StreamFactory extends MSFactory

    Permalink
  41. class StreamFifo[T <: Data] extends Component

    Permalink
  42. class StreamFifoCC[T <: Data] extends Component

    Permalink
  43. trait StreamFifoInterface[T <: Data] extends AnyRef

    Permalink
  44. class StreamFifoLowLatency[T <: Data] extends Component

    Permalink
  45. case class StreamFifoMultiChannelPop[T <: Data](payloadType: HardType[T], channelCount: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  46. case class StreamFifoMultiChannelPush[T <: Data](payloadType: HardType[T], channelCount: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  47. case class StreamFifoMultiChannelSharedSpace[T <: Data](payloadType: HardType[T], channelCount: Int, depth: Int, withAllocationFifo: Boolean = false) extends Component with Product with Serializable

    Permalink
  48. class StreamFlowArbiter[T <: Data] extends Area

    Permalink
  49. class StreamFork[T <: Data] extends Component

    Permalink

    A StreamFork will clone each incoming data to all its output streams.

    A StreamFork will clone each incoming data to all its output streams. If synchronous is true, all output streams will always fire together, which means that the stream will halt until all output streams are ready. If synchronous is false, output streams may be ready one at a time, at the cost of an additional flip flop (1 bit per output). The input stream will block until all output streams have processed each item regardlessly.

    Note that this means that when synchronous is true, the valid signal of the outputs depends on their inputs, which may lead to dead locks when used in combination with systems that have it the other way around. It also violates the handshake of the AXI specification (section A3.3.1).

  50. class StreamFragmentBitsDispatcher extends Area

    Permalink
  51. case class StreamFragmentBitsDispatcherElement(sink: Stream[Bits], header: Int) extends Product with Serializable

    Permalink
  52. class StreamFragmentBitsPimped extends AnyRef

    Permalink
  53. class StreamFragmentFactory extends MSFactory

    Permalink
  54. class StreamFragmentPimped[T <: Data] extends AnyRef

    Permalink
  55. class StreamMux[T <: Data] extends Component

    Permalink
  56. class StreamToStreamFragmentBits[T <: Data] extends Component

    Permalink
  57. class StringPimped extends AnyRef

    Permalink
  58. class Timeout extends ImplicitArea[Bool]

    Permalink
  59. class TraversableOnceAnyPimped[T] extends AnyRef

    Permalink
  60. class TraversableOnceBoolPimped extends AnyRef

    Permalink
  61. class TraversableOncePimped[T <: Data] extends AnyRef

    Permalink
  62. case class TupleBundle1[T1 <: Data](payloadType1: HardType[T1]) extends Bundle with Product with Serializable

    Permalink
  63. case class TupleBundle10[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10]) extends Bundle with Product with Serializable

    Permalink
  64. case class TupleBundle11[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11]) extends Bundle with Product with Serializable

    Permalink
  65. case class TupleBundle12[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12]) extends Bundle with Product with Serializable

    Permalink
  66. case class TupleBundle13[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13]) extends Bundle with Product with Serializable

    Permalink
  67. case class TupleBundle14[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14]) extends Bundle with Product with Serializable

    Permalink
  68. case class TupleBundle15[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15]) extends Bundle with Product with Serializable

    Permalink
  69. case class TupleBundle16[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16]) extends Bundle with Product with Serializable

    Permalink
  70. case class TupleBundle17[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17]) extends Bundle with Product with Serializable

    Permalink
  71. case class TupleBundle18[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18]) extends Bundle with Product with Serializable

    Permalink
  72. case class TupleBundle19[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19]) extends Bundle with Product with Serializable

    Permalink
  73. case class TupleBundle2[T1 <: Data, T2 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2]) extends Bundle with Product with Serializable

    Permalink
  74. case class TupleBundle20[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20]) extends Bundle with Product with Serializable

    Permalink
  75. case class TupleBundle21[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21]) extends Bundle with Product with Serializable

    Permalink
  76. case class TupleBundle22[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data, T22 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21], payloadType22: HardType[T22]) extends Bundle with Product with Serializable

    Permalink
  77. case class TupleBundle3[T1 <: Data, T2 <: Data, T3 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3]) extends Bundle with Product with Serializable

    Permalink
  78. case class TupleBundle4[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4]) extends Bundle with Product with Serializable

    Permalink
  79. case class TupleBundle5[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5]) extends Bundle with Product with Serializable

    Permalink
  80. case class TupleBundle6[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6]) extends Bundle with Product with Serializable

    Permalink
  81. case class TupleBundle7[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7]) extends Bundle with Product with Serializable

    Permalink
  82. case class TupleBundle8[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8]) extends Bundle with Product with Serializable

    Permalink
  83. case class TupleBundle9[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9]) extends Bundle with Product with Serializable

    Permalink
  84. implicit class UIntPimper extends AnyRef

    Permalink
  85. class StreamDispatcherSequencial[T <: Data] extends Component

    Permalink

    Deprecated

    Do not use. Use the companion object or a normal regular StreamMux instead.

Value Members

  1. object AddWithCarry

    Permalink
  2. object BIG extends Endianness

    Permalink

    Big-Endian

  3. object BufferCC

    Permalink
  4. object Callable

    Permalink
  5. object ClearCount

    Permalink
  6. object CountOne

    Permalink
  7. object CountOneOnEach

    Permalink
  8. object Counter

    Permalink
  9. object CounterFreeRun

    Permalink
  10. object CounterMultiRequest

    Permalink
  11. object CounterUpDown

    Permalink
  12. object DataCarrier

    Permalink
  13. object Delay

    Permalink
  14. object DelayEvent

    Permalink
  15. object DelayWithInit

    Permalink
  16. object DoCmd

    Permalink

    Run command

  17. object EndiannessSwap

    Permalink

    **************************************************************************** Big-Endian <-> Little-Endian

  18. def Event: Stream[NoData]

    Permalink
  19. object Flow extends FlowFactory

    Permalink
  20. object FlowCCByToggle

    Permalink
  21. object FlowFragmentBitsRouter

    Permalink
  22. object Fragment extends FragmentFactory

    Permalink
  23. object FragmentToBitsStates extends SpinalEnum

    Permalink
  24. object GrayCounter

    Permalink
  25. object History

    Permalink
  26. object KeepAttribute

    Permalink
  27. object LITTLE extends Endianness

    Permalink

    Little-Endian

  28. object LatencyAnalysis

    Permalink
  29. object LeastSignificantBitSet

    Permalink
  30. object MajorityVote

    Permalink
  31. object Max

    Permalink
  32. object Min

    Permalink
  33. object MuxOH

    Permalink
  34. def NoData: NoData

    Permalink
  35. object OHMasking

    Permalink
  36. object OHToUInt

    Permalink
  37. object PriorityMux

    Permalink
  38. object PulseCCByToggle

    Permalink
  39. object RegFlow

    Permalink
  40. object ResetCtrl

    Permalink
  41. object Reverse

    Permalink
  42. def ScalaStream: scala.collection.immutable.Stream.type

    Permalink
  43. object SetCount

    Permalink
  44. object Stream extends StreamFactory

    Permalink
  45. object StreamArbiter

    Permalink
  46. def StreamArbiterFactory: StreamArbiterFactory

    Permalink
  47. object StreamCCByToggle

    Permalink
  48. object StreamCombinerSequential

    Permalink

    This is equivalent to a StreamMux, but with a counter attached to the port selector.

  49. object StreamDemux

    Permalink

    Demultiplex one stream into multiple output streams, always selecting only one at a time.

  50. object StreamDispatcherSequential

    Permalink

    This is equivalent to a StreamDemux, but with a counter attached to the port selector.

  51. object StreamFifo

    Permalink
  52. object StreamFifoCC

    Permalink
  53. object StreamFifoLowLatency

    Permalink
  54. object StreamFifoMultiChannelBench extends App

    Permalink
  55. object StreamFlowArbiter

    Permalink

    Combine a stream and a flow to a new stream.

    Combine a stream and a flow to a new stream. If both input sources fire, the flow will be preferred.

  56. object StreamFork

    Permalink
  57. object StreamFork2

    Permalink
  58. object StreamFork3

    Permalink
  59. object StreamFragmentArbiter

    Permalink
  60. object StreamFragmentArbiterAndHeaderAdder

    Permalink
  61. object StreamFragmentGenerator

    Permalink
  62. object StreamFragmentWidthAdapter

    Permalink
  63. object StreamJoin

    Permalink

    Join multiple streams into one.

    Join multiple streams into one. The resulting stream will only fire if all of them fire, so you may want to buffer the inputs.

  64. object StreamMux

    Permalink

    Multiplex multiple streams into a single one, always only processing one at a time.

  65. object StreamWidthAdapter

    Permalink
  66. object Timeout

    Permalink
  67. object UIntToOh

    Permalink
  68. object ValidFlow

    Permalink

    Create a new Flow that is always valid, with a given payload

  69. object WrapWithReg

    Permalink
  70. package blackbox

    Permalink
  71. implicit def boolPimped(that: Bool): BoolPimped

    Permalink
  72. package bus

    Permalink
  73. package com

    Permalink
  74. package cpu

    Permalink
  75. implicit def dataCarrierFragmentBitsPimped(that: DataCarrier[Fragment[Bits]]): DataCarrierFragmentBitsPimped

    Permalink
  76. implicit def dataCarrierFragmentPimped[T <: Data](that: DataCarrier[Fragment[T]]): DataCarrierFragmentPimped[T]

    Permalink
  77. package dsptool

    Permalink
  78. implicit def easyFragment[T <: Data](that: Fragment[T]): T

    Permalink
  79. package eda

    Permalink
  80. package experimental

    Permalink
  81. def export[T <: SpinalTag](h: T): T

    Permalink
  82. def export[T](h: Handle[T]): Handle[T]

    Permalink
  83. implicit def flowBitsPimped[T <: Data](that: Flow[Bits]): FlowBitsPimped

    Permalink
  84. implicit def flowFragmentPimped[T <: Data](that: Flow[Fragment[T]]): FlowFragmentPimped[T]

    Permalink
  85. object fromGray

    Permalink
  86. package fsm

    Permalink
  87. package generator

    Permalink
  88. package generator_backup

    Permalink
  89. package graphic

    Permalink
  90. package io

    Permalink
  91. object master extends MS

    Permalink
  92. object masterWithNull extends MS

    Permalink
  93. package math

    Permalink
  94. implicit def memPimped[T <: Data](mem: Mem[T]): MemPimped[T]

    Permalink
  95. package memory

    Permalink
  96. package misc

    Permalink
  97. package sim

    Permalink
  98. object slave extends MS

    Permalink
  99. object slaveWithNull extends MS

    Permalink
  100. package soc

    Permalink
  101. implicit def streamBitsPimped[T <: Data](that: Stream[Bits]): StreamBitsPimped

    Permalink
  102. implicit def streamFragmentBitsPimped(that: Stream[Fragment[Bits]]): StreamFragmentBitsPimped

    Permalink
  103. implicit def streamFragmentPimped[T <: Data](that: Stream[Fragment[T]]): StreamFragmentPimped[T]

    Permalink
  104. implicit def stringPimped(that: String): StringPimped

    Permalink
  105. package system

    Permalink
  106. object toGray

    Permalink
  107. package tools

    Permalink
  108. implicit def traversableOnceAnyPimped[T](that: Seq[T]): TraversableOnceAnyPimped[T]

    Permalink
  109. implicit def traversableOnceBoolPimped(that: Seq[Bool]): TraversableOnceBoolPimped

    Permalink
  110. implicit def traversableOncePimped[T <: Data](that: Seq[T]): TraversableOncePimped[T]

    Permalink
  111. package wishbone

    Permalink

Deprecated Value Members

  1. object StreamDispatcherSequencial

    Permalink

    Deprecated

    Do not use

Inherited from AnyRef

Inherited from Any

Ungrouped