Package

spinal

lib

Permalink

package lib

Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. lib
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. class AnyPimped[T] extends AnyRef

    Permalink
  2. implicit class BigIntRicher extends LiteralRicher

    Permalink
  3. implicit class BinIntsRicher extends AnyRef

    Permalink
  4. implicit class BinaryBuilder extends AnyRef

    Permalink
  5. implicit class BinaryBuilder2 extends AnyRef

    Permalink
  6. class BitAggregator extends AnyRef

    Permalink
  7. class BoolPimped extends AnyRef

    Permalink
  8. class BufferCC[T <: Data] extends Component

    Permalink
  9. implicit class ByteRicher extends LiteralRicher

    Permalink
  10. class ClockDomainPimped extends AnyRef

    Permalink
  11. class Counter extends ImplicitArea[UInt]

    Permalink
  12. class CounterUpDown extends ImplicitArea[UInt]

    Permalink
  13. trait DataCarrier[T <: Data] extends AnyRef

    Permalink
  14. class DataCarrierFragmentBitsPimped extends AnyRef

    Permalink
  15. class DataCarrierFragmentPimped[T <: Data] extends AnyRef

    Permalink
  16. case class DataOr[T <: Data](dataType: HardType[T]) extends Area with Product with Serializable

    Permalink
  17. sealed trait Endianness extends AnyRef

    Permalink

    Endianness enumeration

  18. type Event = Stream[NoData]

    Permalink
  19. case class EventEmitter(on: Event) extends Product with Serializable

    Permalink
  20. class EventFactory extends MSFactory

    Permalink
  21. class Flow[T <: Data] extends Bundle with IMasterSlave with DataCarrier[T]

    Permalink
  22. class FlowBitsPimped extends AnyRef

    Permalink
  23. class FlowCCByToggle[T <: Data] extends Component

    Permalink
  24. class FlowFactory extends MSFactory

    Permalink
  25. class FlowFragmentBitsRouter extends AnyRef

    Permalink
  26. class FlowFragmentFactory extends MSFactory

    Permalink
  27. class FlowFragmentPimped[T <: Data] extends AnyRef

    Permalink
  28. class Fragment[T <: Data] extends Bundle

    Permalink
  29. class FragmentFactory extends AnyRef

    Permalink
  30. class GrowableAnyPimped[T] extends AnyRef

    Permalink
  31. trait IMasterSlave extends AnyRef

    Permalink
  32. implicit class IntRicher extends LiteralRicher

    Permalink
  33. trait LiteralRicher extends AnyRef

    Permalink
  34. implicit class LongRicher extends LiteralRicher

    Permalink
  35. trait MS extends AnyRef

    Permalink
  36. trait MSFactory extends AnyRef

    Permalink
  37. class MemPimped[T <: Data] extends AnyRef

    Permalink
  38. case class MemReadPort[T <: Data](dataType: T, addressWidth: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  39. case class MemReadPortAsync[T <: Data](dataType: T, addressWidth: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  40. case class MemWriteCmd[T <: Data](mem: Mem[T]) extends Bundle with Product with Serializable

    Permalink
  41. case class MemWriteCmdWithMask[T <: Data](mem: Mem[T]) extends Bundle with Product with Serializable

    Permalink
  42. class MuxOHImpl extends AnyRef

    Permalink
  43. class NoData extends Bundle

    Permalink
  44. class PulseCCByToggle extends Component

    Permalink
  45. case class ReadRetLinked[T <: Data, T2 <: Data](readType: HardType[T], linkedType: HardType[T2]) extends Bundle with Product with Serializable

    Permalink
  46. type ScalaStream[T] = scala.collection.immutable.Stream[T]

    Permalink
  47. class Stream[T <: Data] extends Bundle with IMasterSlave with DataCarrier[T]

    Permalink
  48. class StreamArbiter[T <: Data] extends Component

    Permalink

    A StreamArbiter is like a StreamMux, but with built-in complex selection logic that can arbitrate input streams based on a schedule or handle fragmented streams.

    A StreamArbiter is like a StreamMux, but with built-in complex selection logic that can arbitrate input streams based on a schedule or handle fragmented streams. Use a StreamArbiterFactory to create instances of this class.

  49. class StreamArbiterFactory extends AnyRef

    Permalink
  50. class StreamBitsPimped extends AnyRef

    Permalink
  51. class StreamCCByToggle[T <: Data] extends Component

    Permalink
  52. class StreamDemux[T <: Data] extends Component

    Permalink
  53. class StreamFactory extends MSFactory

    Permalink
  54. class StreamFifo[T <: Data] extends Component

    Permalink
  55. class StreamFifoCC[T <: Data] extends Component

    Permalink
  56. trait StreamFifoInterface[T <: Data] extends AnyRef

    Permalink
  57. class StreamFifoLowLatency[T <: Data] extends Component

    Permalink
  58. case class StreamFifoMultiChannelPop[T <: Data](payloadType: HardType[T], channelCount: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  59. case class StreamFifoMultiChannelPush[T <: Data](payloadType: HardType[T], channelCount: Int) extends Bundle with IMasterSlave with Product with Serializable

    Permalink
  60. case class StreamFifoMultiChannelSharedSpace[T <: Data](payloadType: HardType[T], channelCount: Int, depth: Int, withAllocationFifo: Boolean = false) extends Component with Product with Serializable

    Permalink
  61. class StreamFlowArbiter[T <: Data] extends Area

    Permalink
  62. class StreamFork[T <: Data] extends Component

    Permalink

    A StreamFork will clone each incoming data to all its output streams.

    A StreamFork will clone each incoming data to all its output streams. If synchronous is true, all output streams will always fire together, which means that the stream will halt until all output streams are ready. If synchronous is false, output streams may be ready one at a time, at the cost of an additional flip flop (1 bit per output). The input stream will block until all output streams have processed each item regardlessly.

    Note that this means that when synchronous is true, the valid signal of the outputs depends on their inputs, which may lead to dead locks when used in combination with systems that have it the other way around. It also violates the handshake of the AXI specification (section A3.3.1).

  63. class StreamFragmentBitsDispatcher extends Area

    Permalink
  64. case class StreamFragmentBitsDispatcherElement(sink: Stream[Bits], header: Int) extends Product with Serializable

    Permalink
  65. class StreamFragmentBitsPimped extends AnyRef

    Permalink
  66. class StreamFragmentFactory extends MSFactory

    Permalink
  67. class StreamFragmentPimped[T <: Data] extends AnyRef

    Permalink
  68. class StreamMux[T <: Data] extends Component

    Permalink
  69. class StreamToStreamFragmentBits[T <: Data] extends Component

    Permalink
  70. class StreamTransactionCounter extends Component

    Permalink
  71. class StreamTransactionExtender[T <: Data, T2 <: Data] extends Component

    Permalink
  72. class StringPimped extends AnyRef

    Permalink
  73. class Timeout extends ImplicitArea[Bool]

    Permalink
  74. class TraversableOnceAnyPimped[T] extends AnyRef

    Permalink
  75. class TraversableOnceBoolPimped extends AnyRef

    Permalink
  76. class TraversableOncePimped[T <: Data] extends AnyRef

    Permalink
  77. case class TupleBundle1[T1 <: Data](payloadType1: HardType[T1]) extends Bundle with Product with Serializable

    Permalink
  78. case class TupleBundle10[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10]) extends Bundle with Product with Serializable

    Permalink
  79. case class TupleBundle11[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11]) extends Bundle with Product with Serializable

    Permalink
  80. case class TupleBundle12[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12]) extends Bundle with Product with Serializable

    Permalink
  81. case class TupleBundle13[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13]) extends Bundle with Product with Serializable

    Permalink
  82. case class TupleBundle14[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14]) extends Bundle with Product with Serializable

    Permalink
  83. case class TupleBundle15[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15]) extends Bundle with Product with Serializable

    Permalink
  84. case class TupleBundle16[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16]) extends Bundle with Product with Serializable

    Permalink
  85. case class TupleBundle17[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17]) extends Bundle with Product with Serializable

    Permalink
  86. case class TupleBundle18[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18]) extends Bundle with Product with Serializable

    Permalink
  87. case class TupleBundle19[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19]) extends Bundle with Product with Serializable

    Permalink
  88. case class TupleBundle2[T1 <: Data, T2 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2]) extends Bundle with Product with Serializable

    Permalink
  89. case class TupleBundle20[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20]) extends Bundle with Product with Serializable

    Permalink
  90. case class TupleBundle21[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21]) extends Bundle with Product with Serializable

    Permalink
  91. case class TupleBundle22[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data, T22 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9], payloadType10: HardType[T10], payloadType11: HardType[T11], payloadType12: HardType[T12], payloadType13: HardType[T13], payloadType14: HardType[T14], payloadType15: HardType[T15], payloadType16: HardType[T16], payloadType17: HardType[T17], payloadType18: HardType[T18], payloadType19: HardType[T19], payloadType20: HardType[T20], payloadType21: HardType[T21], payloadType22: HardType[T22]) extends Bundle with Product with Serializable

    Permalink
  92. case class TupleBundle3[T1 <: Data, T2 <: Data, T3 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3]) extends Bundle with Product with Serializable

    Permalink
  93. case class TupleBundle4[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4]) extends Bundle with Product with Serializable

    Permalink
  94. case class TupleBundle5[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5]) extends Bundle with Product with Serializable

    Permalink
  95. case class TupleBundle6[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6]) extends Bundle with Product with Serializable

    Permalink
  96. case class TupleBundle7[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7]) extends Bundle with Product with Serializable

    Permalink
  97. case class TupleBundle8[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8]) extends Bundle with Product with Serializable

    Permalink
  98. case class TupleBundle9[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data](payloadType1: HardType[T1], payloadType2: HardType[T2], payloadType3: HardType[T3], payloadType4: HardType[T4], payloadType5: HardType[T5], payloadType6: HardType[T6], payloadType7: HardType[T7], payloadType8: HardType[T8], payloadType9: HardType[T9]) extends Bundle with Product with Serializable

    Permalink
  99. implicit class UIntPimper extends AnyRef

    Permalink
  100. class StreamDispatcherSequencial[T <: Data] extends Component

    Permalink

    Deprecated

    Do not use. Use the companion object or a normal regular StreamMux instead.

Value Members

  1. object AddWithCarry

    Permalink
  2. implicit def AnyPimped[T](that: T): AnyPimped[T]

    Permalink
  3. object BIG extends Endianness

    Permalink

    Big-Endian

  4. object BufferCC

    Permalink
  5. object Callable

    Permalink
  6. object ClearCount

    Permalink
  7. object CountOne

    Permalink
  8. object CountOneOnEach

    Permalink
  9. object Counter

    Permalink
  10. object CounterFreeRun

    Permalink
  11. object CounterMultiRequest

    Permalink
  12. object CounterUpDown

    Permalink
  13. object DataCarrier

    Permalink
  14. object Delay

    Permalink
  15. object DelayEvent

    Permalink
  16. object DelayWithInit

    Permalink
  17. object DoCmd

    Permalink

    Run command

  18. object EndiannessSwap

    Permalink

    **************************************************************************** Big-Endian <-> Little-Endian

  19. def Event: Stream[NoData]

    Permalink
  20. object Flow extends FlowFactory

    Permalink
  21. object FlowCCByToggle

    Permalink
  22. object FlowFragmentBitsRouter

    Permalink
  23. object Fragment extends FragmentFactory

    Permalink
  24. object FragmentToBitsStates extends SpinalEnum

    Permalink
  25. object GrayCounter

    Permalink
  26. object History

    Permalink
  27. object KeepAttribute

    Permalink
  28. object LITTLE extends Endianness

    Permalink

    Little-Endian

  29. object LatencyAnalysis

    Permalink
  30. object LeastSignificantBitSet

    Permalink
  31. object MajorityVote

    Permalink
  32. object Max

    Permalink
  33. object Min

    Permalink
  34. object MuxOH extends MuxOHImpl

    Permalink
  35. def NoData: NoData

    Permalink
  36. object OHMasking

    Permalink
  37. object OHMux extends MuxOHImpl

    Permalink
  38. object OHToUInt

    Permalink
  39. object OhMux extends MuxOHImpl

    Permalink
  40. object PriorityMux

    Permalink
  41. object PulseCCByToggle

    Permalink
  42. object RegFlow

    Permalink
  43. object Repeat

    Permalink
  44. object ResetCtrl

    Permalink
  45. object Reverse

    Permalink
  46. def ScalaStream: scala.collection.immutable.Stream.type

    Permalink
  47. object SetCount

    Permalink
  48. object SetFromFirstOne

    Permalink
  49. object Stream extends StreamFactory

    Permalink
  50. object StreamArbiter

    Permalink
  51. def StreamArbiterFactory: StreamArbiterFactory

    Permalink
  52. object StreamCCByToggle

    Permalink
  53. object StreamCombinerSequential

    Permalink

    This is equivalent to a StreamMux, but with a counter attached to the port selector.

  54. object StreamDemux

    Permalink

    Demultiplex one stream into multiple output streams, always selecting only one at a time.

  55. object StreamDispatcherSequential

    Permalink

    This is equivalent to a StreamDemux, but with a counter attached to the port selector.

  56. object StreamFifo

    Permalink
  57. object StreamFifoCC

    Permalink
  58. object StreamFifoLowLatency

    Permalink
  59. object StreamFifoMultiChannelBench extends App

    Permalink
  60. object StreamFlowArbiter

    Permalink

    Combine a stream and a flow to a new stream.

    Combine a stream and a flow to a new stream. If both input sources fire, the flow will be preferred.

  61. object StreamFork

    Permalink
  62. object StreamFork2

    Permalink
  63. object StreamFork3

    Permalink
  64. object StreamFragmentArbiter

    Permalink
  65. object StreamFragmentArbiterAndHeaderAdder

    Permalink
  66. object StreamFragmentGenerator

    Permalink
  67. object StreamFragmentWidthAdapter

    Permalink
  68. object StreamJoin

    Permalink

    Join multiple streams into one.

    Join multiple streams into one. The resulting stream will only fire if all of them fire, so you may want to buffer the inputs.

  69. object StreamMux

    Permalink

    Multiplex multiple streams into a single one, always only processing one at a time.

  70. object StreamTransactionCounter

    Permalink
  71. object StreamTransactionExtender

    Permalink
  72. object StreamWidthAdapter

    Permalink
  73. object Timeout

    Permalink
  74. object UIntToOh

    Permalink
  75. object ValidFlow

    Permalink

    Create a new Flow that is always valid, with a given payload

  76. object WrapWithReg

    Permalink
  77. package blackbox

    Permalink
  78. implicit def boolPimped(that: Bool): BoolPimped

    Permalink
  79. package bus

    Permalink
  80. implicit def clockDomainPimped(cd: ClockDomain): ClockDomainPimped

    Permalink
  81. package com

    Permalink
  82. package cpu

    Permalink
  83. implicit def dataCarrierFragmentBitsPimped(that: DataCarrier[Fragment[Bits]]): DataCarrierFragmentBitsPimped

    Permalink
  84. implicit def dataCarrierFragmentPimped[T <: Data](that: DataCarrier[Fragment[T]]): DataCarrierFragmentPimped[T]

    Permalink
  85. package dsptool

    Permalink
  86. implicit def easyFragment[T <: Data](that: Fragment[T]): T

    Permalink
  87. package eda

    Permalink
  88. package experimental

    Permalink
  89. def export[T <: SpinalTag](h: T): T

    Permalink
  90. def export[T](h: Handle[T]): Handle[T]

    Permalink
  91. def export[T](named: Handle[T], value: ⇒ Any): ArrayBuffer[() ⇒ Unit]

    Permalink
  92. implicit def flowBitsPimped[T <: Data](that: Flow[Bits]): FlowBitsPimped

    Permalink
  93. implicit def flowFragmentPimped[T <: Data](that: Flow[Fragment[T]]): FlowFragmentPimped[T]

    Permalink
  94. object fromGray

    Permalink
  95. package fsm

    Permalink
  96. package generator

    Permalink
  97. package generator_backup

    Permalink
  98. package graphic

    Permalink
  99. implicit def growableAnyPimped[T](that: Growable[T]): GrowableAnyPimped[T]

    Permalink
  100. package io

    Permalink
  101. package logic

    Permalink
  102. object master extends MS

    Permalink
  103. object masterWithNull extends MS

    Permalink
  104. package math

    Permalink
  105. implicit def memPimped[T <: Data](mem: Mem[T]): MemPimped[T]

    Permalink
  106. package memory

    Permalink
  107. package misc

    Permalink
  108. package sim

    Permalink
  109. object slave extends MS

    Permalink
  110. object slaveWithNull extends MS

    Permalink
  111. package soc

    Permalink
  112. implicit def streamBitsPimped[T <: Data](that: Stream[Bits]): StreamBitsPimped

    Permalink
  113. implicit def streamFragmentBitsPimped(that: Stream[Fragment[Bits]]): StreamFragmentBitsPimped

    Permalink
  114. implicit def streamFragmentPimped[T <: Data](that: Stream[Fragment[T]]): StreamFragmentPimped[T]

    Permalink
  115. implicit def stringPimped(that: String): StringPimped

    Permalink
  116. package system

    Permalink
  117. object toGray

    Permalink
  118. package tools

    Permalink
  119. implicit def traversableOnceAnyPimped[T](that: Seq[T]): TraversableOnceAnyPimped[T]

    Permalink
  120. implicit def traversableOnceBoolPimped(that: Seq[Bool]): TraversableOnceBoolPimped

    Permalink
  121. implicit def traversableOncePimped[T <: Data](that: Seq[T]): TraversableOncePimped[T]

    Permalink
  122. object whenIndexed

    Permalink
  123. object whenMasked

    Permalink
  124. package wishbone

    Permalink

Deprecated Value Members

  1. object StreamDispatcherSequencial

    Permalink

    Deprecated

    Do not use

Inherited from AnyRef

Inherited from Any

Ungrouped