Package

spinal

core

Permalink

package core

Linear Supertypes
BaseTypeCast, UFixCast, SFixCast, BaseTypeFactory, UFixFactory, SFixFactory, TypeFactory, VecFactory, SIntFactory, UIntFactory, BitsFactory, BoolFactory, AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. type FixedFrequency = core.ClockDomain.FixedFrequency

    Permalink
  2. type IClockDomainFrequency = ClockFrequency

    Permalink
  3. type Module = Component

    Permalink
  4. type UnknownFrequency = core.ClockDomain.UnknownFrequency

    Permalink
  5. class VecBuilder extends AnyRef

    Permalink
    Definition Classes
    VecFactory
  6. type dontName = spinal.core.DontName @scala.annotation.meta.field

    Permalink

Value Members

  1. implicit def BaseTypePimped[T <: BaseType](that: T): BaseTypePimper[T]

    Permalink
  2. implicit def BigIntToBits(that: BigInt): Bits

    Permalink
  3. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

    Permalink
  4. implicit def BigIntToSInt(that: BigInt): SInt

    Permalink
  5. implicit def BigIntToUInt(that: BigInt): UInt

    Permalink
  6. def Bits(width: BitCount): Bits

    Permalink
    Definition Classes
    BitsFactory
  7. def Bits(u: Unit): Bits

    Permalink
    Definition Classes
    BitsFactory
  8. def Bool(value: Boolean): Bool

    Permalink
  9. def Bool(u: DummyTrait): Bool

    Permalink
  10. def Bool: Bool

    Permalink
  11. implicit def BooleanPimped(that: Boolean): BooleanPimped

    Permalink
  12. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

    Permalink
  13. val DefaultFixPointConfig: FixPointConfig

    Permalink
  14. implicit def DoubleToBuilder(value: Double): DoubleBuilder

    Permalink
  15. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

    Permalink
  16. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

    Permalink
  17. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

    Permalink
  18. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

    Permalink
  19. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

    Permalink
  20. def False: Bool

    Permalink
  21. def FixedFrequency(value: HertzNumber): core.ClockDomain.FixedFrequency

    Permalink
  22. implicit def IntPimped(that: Int): IntPimped

    Permalink
  23. implicit def IntToBits(that: Int): Bits

    Permalink
  24. implicit def IntToBuilder(value: Int): IntBuilder

    Permalink
  25. implicit def IntToSInt(that: Int): SInt

    Permalink
  26. implicit def IntToUInt(that: Int): UInt

    Permalink
  27. implicit def LongToBits(that: Long): Bits

    Permalink
  28. implicit def LongToSInt(that: Long): SInt

    Permalink
  29. implicit def LongToUInt(that: Long): UInt

    Permalink
  30. val LowCostFixPointConfig: FixPointConfig

    Permalink
  31. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Permalink
    Definition Classes
    SFixFactory
  32. def SFix(peak: ExpNumber, width: BitCount): SFix

    Permalink
    Definition Classes
    SFixFactory
  33. def SInt(width: BitCount): SInt

    Permalink
    Definition Classes
    SIntFactory
  34. def SInt(u: Unit): SInt

    Permalink
    Definition Classes
    SIntFactory
  35. def True: Bool

    Permalink
  36. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Permalink
    Definition Classes
    UFixFactory
  37. def UFix(peak: ExpNumber, width: BitCount): UFix

    Permalink
    Definition Classes
    UFixFactory
  38. def UInt(width: BitCount): UInt

    Permalink
    Definition Classes
    UIntFactory
  39. def UInt(u: Unit): UInt

    Permalink
    Definition Classes
    UIntFactory
  40. def UnknownFrequency(): core.ClockDomain.UnknownFrequency

    Permalink
  41. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  42. def Vec[T <: Data](gen: HardType[T], size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  43. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  44. def Vec[T <: Data](elements: TraversableOnce[T], dataType: HardType[T]): Vec[T]

    Permalink
    Definition Classes
    VecFactory
  45. val Vec: VecBuilder

    Permalink
    Definition Classes
    VecFactory
  46. implicit def VecBitwisePimped[T <: Data with BitwiseOp[T]](that: Vec[T]): VecBitwisePimper[T]

    Permalink
  47. def assert(assertion: Bool, message: Seq[Any], severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  48. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  49. def assert(assertion: Bool, message: Seq[Any])(implicit loc: Location): AssertStatement

    Permalink
  50. def assert(assertion: Bool, message: String)(implicit loc: Location): AssertStatement

    Permalink
  51. def assert(assertion: Bool, severity: AssertNodeSeverity)(implicit loc: Location): AssertStatement

    Permalink
  52. def assert(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  53. final def assert(assertion: Boolean, message: ⇒ Any)(implicit loc: Location): Unit

    Permalink
    Annotations
    @elidable( 2000 ) @inline()
  54. def assert(assertion: Boolean): Unit

    Permalink
    Annotations
    @elidable( 2000 )
  55. def assume(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  56. def assumeInitial(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  57. def cover(assertion: Bool)(implicit loc: Location): AssertStatement

    Permalink
  58. implicit lazy val implicitConversions: implicitConversions

    Permalink
  59. def postTypeFactory[T <: Data](that: T): T

    Permalink
    Definition Classes
    TypeFactory
  60. implicit lazy val postfixOps: postfixOps

    Permalink
  61. implicit lazy val reflectiveCalls: reflectiveCalls

    Permalink
  62. def report(message: Seq[Any], severity: AssertNodeSeverity): AssertStatement

    Permalink
  63. def report(message: String, severity: AssertNodeSeverity): AssertStatement

    Permalink
  64. def report(message: Seq[Any]): AssertStatement

    Permalink
  65. def report(message: String): AssertStatement

    Permalink
  66. implicit def tupleBunder10Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10)): TupleBundle10[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10]

    Permalink
  67. implicit def tupleBunder11Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11)): TupleBundle11[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11]

    Permalink
  68. implicit def tupleBunder12Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12)): TupleBundle12[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12]

    Permalink
  69. implicit def tupleBunder13Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13)): TupleBundle13[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13]

    Permalink
  70. implicit def tupleBunder14Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14)): TupleBundle14[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14]

    Permalink
  71. implicit def tupleBunder15Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15)): TupleBundle15[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15]

    Permalink
  72. implicit def tupleBunder16Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16)): TupleBundle16[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16]

    Permalink
  73. implicit def tupleBunder17Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17)): TupleBundle17[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17]

    Permalink
  74. implicit def tupleBunder18Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18)): TupleBundle18[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18]

    Permalink
  75. implicit def tupleBunder19Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19)): TupleBundle19[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19]

    Permalink
  76. implicit def tupleBunder20Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20)): TupleBundle20[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20]

    Permalink
  77. implicit def tupleBunder21Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21)): TupleBundle21[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21]

    Permalink
  78. implicit def tupleBunder22Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data, T10 <: Data, T11 <: Data, T12 <: Data, T13 <: Data, T14 <: Data, T15 <: Data, T16 <: Data, T17 <: Data, T18 <: Data, T19 <: Data, T20 <: Data, T21 <: Data, T22 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21, T22)): TupleBundle22[T1, T2, T3, T4, T5, T6, T7, T8, T9, T10, T11, T12, T13, T14, T15, T16, T17, T18, T19, T20, T21, T22]

    Permalink
  79. implicit def tupleBunder2Pimp[T1 <: Data, T2 <: Data](pimped: (T1, T2)): TupleBundle2[T1, T2]

    Permalink
  80. implicit def tupleBunder3Pimp[T1 <: Data, T2 <: Data, T3 <: Data](pimped: (T1, T2, T3)): TupleBundle3[T1, T2, T3]

    Permalink
  81. implicit def tupleBunder4Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data](pimped: (T1, T2, T3, T4)): TupleBundle4[T1, T2, T3, T4]

    Permalink
  82. implicit def tupleBunder5Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data](pimped: (T1, T2, T3, T4, T5)): TupleBundle5[T1, T2, T3, T4, T5]

    Permalink
  83. implicit def tupleBunder6Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data](pimped: (T1, T2, T3, T4, T5, T6)): TupleBundle6[T1, T2, T3, T4, T5, T6]

    Permalink
  84. implicit def tupleBunder7Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7)): TupleBundle7[T1, T2, T3, T4, T5, T6, T7]

    Permalink
  85. implicit def tupleBunder8Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8)): TupleBundle8[T1, T2, T3, T4, T5, T6, T7, T8]

    Permalink
  86. implicit def tupleBunder9Pimp[T1 <: Data, T2 <: Data, T3 <: Data, T4 <: Data, T5 <: Data, T6 <: Data, T7 <: Data, T8 <: Data, T9 <: Data](pimped: (T1, T2, T3, T4, T5, T6, T7, T8, T9)): TupleBundle9[T1, T2, T3, T4, T5, T6, T7, T8, T9]

    Permalink

Deprecated Value Members

  1. def toSFix(sint: SInt): SFix

    Permalink
    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toSFix instead

  2. def toUFix(uint: UInt): UFix

    Permalink
    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated

    (Since version ???) Use xxx.toUFix instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped