Class

chisel3

Bundle

Related Doc: package chisel3

Permalink

abstract class Bundle extends Record

Base class for data types defined as a bundle of other data types.

Usage: extend this class (either as an anonymous or named class) and define members variables of Data subtypes to be elements in the Bundle.

Example of an anonymous IO bundle

class MyModule extends Module {
  val io = IO(new Bundle {
    val in = Input(UInt(64.W))
    val out = Output(SInt(128.W))
  })
}

Or as a named class

class Packet extends Bundle {
  val header = UInt(16.W)
  val addr   = UInt(16.W)
  val data   = UInt(32.W)
}
class MyModule extends Module {
   val io = IO(new Bundle {
     val inPacket = Input(new Packet)
     val outPacket = Output(new Packet)
   })
   val reg = Reg(new Packet)
   reg <> io.inPacket
   io.outPacket <> reg
}
Linear Supertypes
Record, Aggregate, Data, SourceInfoDoc, NamedComponent, HasId, internal.InstanceId, AnyRef, Any
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Bundle
  2. Record
  3. Aggregate
  4. Data
  5. SourceInfoDoc
  6. NamedComponent
  7. HasId
  8. InstanceId
  9. AnyRef
  10. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Instance Constructors

  1. new Bundle()(implicit compileOptions: CompileOptions)

    Permalink

Value Members

  1. final def !=(arg0: Any): Boolean

    Permalink
    Definition Classes
    AnyRef → Any
  2. final def ##(): Int

    Permalink
    Definition Classes
    AnyRef → Any
  3. final def :=(that: ⇒ Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Permalink

    Connect this data to that data mono-directionally and element-wise.

    Connect this data to that data mono-directionally and element-wise.

    This uses the MonoConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  4. final def <>(that: ⇒ Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Permalink

    Connect this data to that data bi-directionally and element-wise.

    Connect this data to that data bi-directionally and element-wise.

    This uses the BiConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  5. final def ==(arg0: Any): Boolean

    Permalink
    Definition Classes
    AnyRef → Any
  6. final def asInstanceOf[T0]: T0

    Permalink
    Definition Classes
    Any
  7. macro def asTypeOf[T <: Data](that: T): T

    Permalink

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    that should have known widths

    ,

    bit widths are NOT checked, may pad or drop bits from input

  8. final macro def asUInt(): UInt

    Permalink

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

    ,

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

  9. def autoSeed(name: String): Bundle.this.type

    Permalink

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  10. def binding: Option[Binding]

    Permalink
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  11. def bindingToString: String

    Permalink
    Attributes
    protected
    Definition Classes
    Data
  12. def binding_=(target: Binding): Unit

    Permalink
    Attributes
    protected
    Definition Classes
    Data
  13. def circuitName: String

    Permalink
    Attributes
    protected
    Definition Classes
    HasId
  14. def className: String

    Permalink

    Name for Pretty Printing

    Name for Pretty Printing

    Definition Classes
    BundleRecord
  15. def clone(): AnyRef

    Permalink
    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  16. def cloneType: Bundle.this.type

    Permalink

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    BundleData
  17. def computeName(defaultPrefix: Option[String], defaultSeed: Option[String]): Option[String]

    Permalink

    Computes the name of this HasId, if one exists

    Computes the name of this HasId, if one exists

    defaultPrefix

    Optionally provide a default prefix for computing the name

    defaultSeed

    Optionally provide default seed for computing the name

    returns

    the name, if it can be computed

    Definition Classes
    HasId
  18. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Permalink

    Definition Classes
    Data
  19. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Permalink

    Definition Classes
    AggregateData
  20. final lazy val elements: ListMap[String, Data]

    Permalink

    The collection of Data

    The collection of Data

    Elements defined earlier in the Bundle are higher order upon serialization. For example:

    Definition Classes
    BundleRecord
    Example:
    1. class MyBundle extends Bundle {
        val foo = UInt(16.W)
        val bar = UInt(16.W)
      }
      // Note that foo is higher order because its defined earlier in the Bundle
      val bundle = Wire(new MyBundle)
      bundle.foo := 0x1234.U
      bundle.bar := 0x5678.U
      val uint = bundle.asUInt
      assert(uint === "h12345678".U) // This will pass
  21. final def eq(arg0: AnyRef): Boolean

    Permalink
    Definition Classes
    AnyRef
  22. def equals(that: Any): Boolean

    Permalink
    Definition Classes
    HasId → AnyRef → Any
  23. def finalize(): Unit

    Permalink
    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  24. final def getClass(): Class[_]

    Permalink
    Definition Classes
    AnyRef → Any
  25. def getElements: Seq[Data]

    Permalink

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Definition Classes
    RecordAggregate
  26. final def getWidth: Int

    Permalink

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  27. def hasSeed: Boolean

    Permalink

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  28. def hashCode(): Int

    Permalink
    Definition Classes
    HasId → AnyRef → Any
  29. def ignoreSeq: Boolean

    Permalink

    Overridden by IgnoreSeqInBundle to allow arbitrary Seqs of Chisel elements.

  30. def instanceName: String

    Permalink
    Definition Classes
    HasId → InstanceId
  31. final def isInstanceOf[T0]: Boolean

    Permalink
    Definition Classes
    Any
  32. def isLit(): Boolean

    Permalink
    Definition Classes
    Data
  33. final def isWidthKnown: Boolean

    Permalink

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  34. def litOption(): Option[BigInt]

    Permalink

    Return an Aggregate's literal value if it is a literal, None otherwise.

    Return an Aggregate's literal value if it is a literal, None otherwise. If any element of the aggregate is not a literal with a defined width, the result isn't a literal.

    returns

    an Aggregate's literal value if it is a literal.

    Definition Classes
    AggregateData
  35. def litValue(): BigInt

    Permalink

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  36. final def ne(arg0: AnyRef): Boolean

    Permalink
    Definition Classes
    AnyRef
  37. final def notify(): Unit

    Permalink
    Definition Classes
    AnyRef
  38. final def notifyAll(): Unit

    Permalink
    Definition Classes
    AnyRef
  39. def parentModName: String

    Permalink
    Definition Classes
    HasId → InstanceId
  40. def parentPathName: String

    Permalink
    Definition Classes
    HasId → InstanceId
  41. def pathName: String

    Permalink
    Definition Classes
    HasId → InstanceId
  42. def suggestName(seed: ⇒ String): Bundle.this.type

    Permalink

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  43. final def synchronized[T0](arg0: ⇒ T0): T0

    Permalink
    Definition Classes
    AnyRef
  44. final def toAbsoluteTarget: ReferenceTarget

    Permalink

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  45. final def toNamed: ComponentName

    Permalink

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  46. def toPrintable: Printable

    Permalink

    Default "pretty-print" implementation Analogous to printing a Map Results in "Bundle(elt0.name -> elt0.value, ...)"

    Default "pretty-print" implementation Analogous to printing a Map Results in "Bundle(elt0.name -> elt0.value, ...)"

    Definition Classes
    BundleRecordData
    Note

    The order is reversed from the order of elements in order to print the fields in the order they were defined

  47. def toString(): String

    Permalink

    The collection of Data

    The collection of Data

    This underlying datastructure is a ListMap because the elements must remain ordered for serialization/deserialization. Elements added later are higher order when serialized (this is similar to Vec). For example:

    // Assume we have some type MyRecord that creates a Record from the ListMap
    val record = MyRecord(ListMap("fizz" -> UInt(16.W), "buzz" -> UInt(16.W)))
    // "buzz" is higher order because it was added later than "fizz"
    record("fizz") := "hdead".U
    record("buzz") := "hbeef".U
    val uint = record.asUInt
    assert(uint === "hbeefdead".U) // This will pass
    Definition Classes
    Record → AnyRef → Any
  48. final def toTarget: ReferenceTarget

    Permalink

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  49. final def wait(): Unit

    Permalink
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  50. final def wait(arg0: Long, arg1: Int): Unit

    Permalink
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  51. final def wait(arg0: Long): Unit

    Permalink
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  52. final def widthOption: Option[Int]

    Permalink

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data

Deprecated Value Members

  1. def litArg(): Option[LitArg]

    Permalink
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2) litArg is deprecated, use litOption or litTo*Option

Inherited from Record

Inherited from Aggregate

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from internal.InstanceId

Inherited from AnyRef

Inherited from Any

Connect

Utilities for connecting hardware components

SourceInfoTransformMacro

Ungrouped