Package

chisel3

Permalink

package chisel3

The chisel3 package contains the chisel3 API. It maps core components into the public chisel3 namespace.

Linear Supertypes
AnyRef, Any
Content Hierarchy Learn more about scaladoc diagrams
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. chisel3
  2. AnyRef
  3. Any
  1. Hide All
  2. Show all
Visibility
  1. Public
  2. All

Type Members

  1. type Aggregate = chisel3.core.Aggregate

    Permalink
  2. trait BackendCompilationUtilities extends firrtl.util.BackendCompilationUtilities

    Permalink
  3. type Binary = chisel3.core.Binary

    Permalink
  4. type Bits = chisel3.core.Bits

    Permalink
  5. type BlackBox = chisel3.core.BlackBox

    Permalink
  6. type Bool = chisel3.core.Bool

    Permalink
  7. trait BoolFactory extends chisel3.core.BoolFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you move your code soon.

  8. type Bundle = chisel3.core.Bundle

    Permalink
  9. type Character = chisel3.core.Character

    Permalink
  10. type ChiselException = chisel3.internal.ChiselException

    Permalink
  11. case class ChiselExecutionFailure(message: String) extends ChiselExecutionResult with Product with Serializable

    Permalink

    Getting one of these indicates failure of some sort

    Getting one of these indicates failure of some sort

    message

    a clue perhaps will be provided in the here

  12. case class ChiselExecutionOptions(runFirrtlCompiler: Boolean = true) extends ComposableOptions with Product with Serializable

    Permalink

    Options that are specific to chisel.

    Options that are specific to chisel.

    runFirrtlCompiler

    when true just run chisel, when false run chisel then compile its output with firrtl

    Note

    this extends FirrtlExecutionOptions which extends CommonOptions providing easy access to down chain options

  13. trait ChiselExecutionResult extends AnyRef

    Permalink

    This family provides return values from the chisel3 and possibly firrtl compile steps

  14. case class ChiselExecutionSuccess(circuitOption: Option[Circuit], emitted: String, firrtlResultOption: Option[FirrtlExecutionResult]) extends ChiselExecutionResult with Product with Serializable

    Permalink

    circuitOption

    Optional circuit, has information like circuit name

    emitted

    The emitted Chirrrl text

    firrtlResultOption

    Optional Firrtl result, @see ucb-bar/firrtl for details

  15. type Clock = chisel3.core.Clock

    Permalink
  16. type Data = chisel3.core.Data

    Permalink
  17. type Decimal = chisel3.core.Decimal

    Permalink
  18. type Direction = chisel3.core.Direction

    Permalink
  19. type Element = chisel3.core.Element

    Permalink
  20. type FirrtlFormat = chisel3.core.FirrtlFormat

    Permalink
  21. type FullName = chisel3.core.FullName

    Permalink
  22. trait HasChiselExecutionOptions extends AnyRef

    Permalink
  23. type Hexadecimal = chisel3.core.Hexadecimal

    Permalink
  24. type Mem[T <: Data] = chisel3.core.Mem[T]

    Permalink
  25. type MemBase[T <: Data] = chisel3.core.MemBase[T]

    Permalink
  26. type Module = chisel3.core.Module

    Permalink
  27. type Name = chisel3.core.Name

    Permalink
  28. type Num[T <: Data] = chisel3.core.Num[T]

    Permalink
  29. type PString = chisel3.core.PString

    Permalink
  30. type Printable = chisel3.core.Printable

    Permalink
  31. implicit final class PrintableHelper extends AnyVal

    Permalink

    Implicit for custom Printable string interpolator

  32. type Printables = chisel3.core.Printables

    Permalink
  33. type Record = chisel3.core.Record

    Permalink
  34. type SInt = chisel3.core.SInt

    Permalink
  35. trait SIntFactory extends chisel3.core.SIntFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you move your code soon.

  36. type SyncReadMem[T <: Data] = chisel3.core.SyncReadMem[T]

    Permalink
  37. type UInt = chisel3.core.UInt

    Permalink
  38. trait UIntFactory extends chisel3.core.UIntFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you port your code ASAP.

  39. type Vec[T <: Data] = chisel3.core.Vec[T]

    Permalink
  40. type VecLike[T <: Data] = chisel3.core.VecLike[T]

    Permalink
  41. type WhenContext = chisel3.core.WhenContext

    Permalink
  42. implicit class fromBigIntToLiteral extends chisel3.core.fromBigIntToLiteral

    Permalink
  43. implicit class fromBooleanToLiteral extends chisel3.core.fromBooleanToLiteral

    Permalink
  44. implicit class fromDoubleToLiteral extends chisel3.core.fromDoubleToLiteral

    Permalink
  45. implicit class fromIntToBinaryPoint extends chisel3.core.fromIntToBinaryPoint

    Permalink
  46. implicit class fromIntToWidth extends chisel3.core.fromIntToWidth

    Permalink
  47. implicit class fromStringToLiteral extends chisel3.core.fromStringToLiteral

    Permalink
  48. implicit class fromUIntToBitPatComparable extends AnyRef

    Permalink
  49. implicit class fromtIntToLiteral extends fromIntToLiteral

    Permalink
  50. implicit class fromtLongToLiteral extends fromLongToLiteral

    Permalink
  51. type SeqMem[T <: Data] = chisel3.core.SyncReadMem[T]

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version chisel3) Use 'SyncReadMem'

Value Members

  1. val Binary: chisel3.core.Binary.type

    Permalink
  2. object Bits extends UIntFactory

    Permalink
  3. object Bool extends BoolFactory

    Permalink
  4. object BuildInfo extends Product with Serializable

    Permalink

    This object was generated by sbt-buildinfo.

  5. val Character: chisel3.core.Character.type

    Permalink
  6. val Clock: chisel3.core.Clock.type

    Permalink
  7. val Decimal: chisel3.core.Decimal.type

    Permalink
  8. object Driver extends BackendCompilationUtilities

    Permalink
  9. val FirrtlFormat: chisel3.core.FirrtlFormat.type

    Permalink
  10. val Flipped: chisel3.core.Flipped.type

    Permalink
  11. val FullName: chisel3.core.FullName.type

    Permalink
  12. val Hexadecimal: chisel3.core.Hexadecimal.type

    Permalink
  13. val INPUT: chisel3.core.Direction.Input.type

    Permalink
  14. val Input: chisel3.core.Input.type

    Permalink
  15. val Mem: chisel3.core.Mem.type

    Permalink
  16. val Module: chisel3.core.Module.type

    Permalink
  17. val Mux: chisel3.core.Mux.type

    Permalink
  18. val NODIR: Unspecified.type

    Permalink
  19. val Name: chisel3.core.Name.type

    Permalink
  20. val OUTPUT: chisel3.core.Direction.Output.type

    Permalink
  21. val Output: chisel3.core.Output.type

    Permalink
  22. val PString: chisel3.core.PString.type

    Permalink
  23. val Percent: chisel3.core.Percent.type

    Permalink
  24. val Printable: chisel3.core.Printable.type

    Permalink
  25. val Printables: chisel3.core.Printables.type

    Permalink
  26. object Reg

    Permalink
  27. val RegInit: chisel3.core.RegInit.type

    Permalink
  28. val RegNext: chisel3.core.RegNext.type

    Permalink
  29. object SInt extends SIntFactory

    Permalink
  30. val SyncReadMem: chisel3.core.SyncReadMem.type

    Permalink
  31. object UInt extends UIntFactory

    Permalink
  32. val Vec: chisel3.core.Vec.type

    Permalink
  33. val Wire: chisel3.core.Wire.type

    Permalink
  34. val assert: chisel3.core.assert.type

    Permalink
  35. package core

    Permalink
  36. object experimental

    Permalink

    Package for experimental features, which may have their API changed, be removed, etc.

    Package for experimental features, which may have their API changed, be removed, etc.

    Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

  37. def getDataElements(a: Aggregate): Seq[Element]

    Permalink
  38. def getFirrtlDirection(d: Data): Direction

    Permalink
  39. def getModulePorts(m: Module): Seq[Port]

    Permalink
  40. package internal

    Permalink
  41. val printf: chisel3.core.printf.type

    Permalink
  42. implicit def string2Printable(str: String): Printable

    Permalink
  43. package testers

    Permalink

    The testers package provides the basic interface for chisel testers.

  44. package util

    Permalink

    The util package provides extensions to core chisel for common hardware components and utility functions.

  45. val when: chisel3.core.when.type

    Permalink

Deprecated Value Members

  1. val SeqMem: chisel3.core.SyncReadMem.type

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version chisel3) Use 'SyncReadMem'

Inherited from AnyRef

Inherited from Any

Ungrouped