Package

chisel3

Permalink

package chisel3

Linear Supertypes
Content Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chisel3
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. trait BackendCompilationUtilities extends firrtl.util.BackendCompilationUtilities

    Permalink

    The Driver provides methods to invoke the chisel3 compiler and the firrtl compiler.

    The Driver provides methods to invoke the chisel3 compiler and the firrtl compiler. By default firrtl is automatically run after chisel. an ExecutionOptionsManager is needed to manage options. It can parser command line arguments or coordinate multiple chisel toolchain tools options.

    Examples:
    1. args = "--no-run-firrtl --target-dir my-target-dir".split(" +")
      chisel3.execute(args, () => new DUT)
    2. ,
    3. val optionsManager = new ExecutionOptionsManager("chisel3")
          with HasFirrtlOptions
          with HasChiselExecutionOptions {
        commonOptions = CommonOption(targetDirName = "my_target_dir")
        chiselOptions = ChiselExecutionOptions(runFirrtlCompiler = false)
      }
      chisel3.Driver.execute(optionsManager, () => new Dut)

      or via command line arguments

  2. type ChiselException = chisel3.internal.ChiselException

    Permalink
  3. case class ChiselExecutionFailure(message: String) extends ChiselExecutionResult with Product with Serializable

    Permalink

    Getting one of these indicates failure of some sort.

    Getting one of these indicates failure of some sort.

    message

    A clue might be provided here.

  4. case class ChiselExecutionOptions(runFirrtlCompiler: Boolean = true, printFullStackTrace: Boolean = false) extends ComposableOptions with Product with Serializable

    Permalink

    Options that are specific to chisel.

    Options that are specific to chisel.

    runFirrtlCompiler

    when true just run chisel, when false run chisel then compile its output with firrtl

    Note

    this extends FirrtlExecutionOptions which extends CommonOptions providing easy access to down chain options

  5. trait ChiselExecutionResult extends AnyRef

    Permalink

    This family provides return values from the chisel3 and possibly firrtl compile steps

  6. case class ChiselExecutionSuccess(circuitOption: Option[Circuit], emitted: String, firrtlResultOption: Option[FirrtlExecutionResult]) extends ChiselExecutionResult with Product with Serializable

    Permalink

    circuitOption

    Optional circuit, has information like circuit name

    emitted

    The emitted Chirrrl text

    firrtlResultOption

    Optional Firrtl result, @see freechipsproject/firrtl for details

  7. trait HasChiselExecutionOptions extends AnyRef

    Permalink
  8. type InstanceId = chisel3.internal.InstanceId

    Permalink
  9. type Module = LegacyModule

    Permalink

Value Members

  1. object BuildInfo extends Product with Serializable

    Permalink

    This object was generated by sbt-buildinfo.

  2. val DontCare: InternalDontCare.type

    Permalink
  3. val WireInit: WireDefault.type

    Permalink
  4. package aop

    Permalink
  5. def getDataElements(a: Aggregate): Seq[Element]

    Permalink
  6. def getModulePorts(m: Module): Seq[Port]

    Permalink
  7. package stage

    Permalink
  8. implicit def string2Printable(str: String): Printable

    Permalink
  9. package testers

    Permalink

    The testers package provides the basic interface for chisel testers.

  10. package util

    Permalink

    The util package provides extensions to core chisel for common hardware components and utility functions

Deprecated Value Members

  1. object Driver extends BackendCompilationUtilities

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2.4) Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4.

Inherited from AnyRef

Inherited from Any

Ungrouped