spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BaseTypeFactory
  6. UFixFactory
  7. SFixFactory
  8. TypeFactory
  9. VecFactory
  10. SIntFactory
  11. UIntFactory
  12. BitsFactory
  13. BoolFactory
  14. AnyRef
  15. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait Area extends Nameable with ContextUser with OwnableRef with ScalaLocated

  2. trait AssertNodeSeverity extends AnyRef

  3. trait Assignable extends AnyRef

  4. trait Attribute extends SpinalTag

  5. class AttributeFlag extends Attribute

  6. trait AttributeKind extends AnyRef

  7. class AttributeString extends Attribute

  8. abstract class BaseType extends Data with DeclarationStatement with StatementDoubleLinkedContainer[BaseType, AssignmentStatement] with Expression

    Abstract base class of all Spinal types

  9. trait BaseTypeCast extends SFixCast with UFixCast

  10. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory with SFixFactory with UFixFactory

    Base type factory

  11. implicit class BigDecimalBuilder extends AnyRef

  12. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  13. case class BitCount(value: Int) extends Product with Serializable

  14. abstract class BitVector extends BaseType with Widthable

    BitVector is a family of types for storing multiple bits of information in a single value.

    BitVector is a family of types for storing multiple bits of information in a single value. This type has three subtypes that can be used to model different behaviours:

    • Bits
    • UInt (unsigned integer)
    • SInt (signed integer)
    See also

    BitVector Documentation

  15. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  16. class Bits extends BitVector with DataPrimitives[Bits] with BitwiseOp[Bits]

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    The Bits type corresponds to a vector of bits that does not convey any arithmetic meaning.

    Example:
    1. val myBits1 = Bits(32 bits)
      val myBits2 = B(25, 8 bits)
      val myBits3 = B"8'xFF"
      val myBits4 = B"1001_0011
    See also

    Bits Documentation

  17. trait BitsFactory extends AnyRef

    Bits factory used for instance by the IODirection to create a in/out Bits

  18. trait BitwiseOp[T <: Data] extends AnyRef

    Bitwise Operation

    Bitwise Operation

    T

    the type which is associated with the bitwise operation

  19. abstract class BlackBox extends Component

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    A blackbox allows the user to integrate an existing VHDL/Verilog component into the design by just specifying the interfaces.

    Example:
    1.  class Ram_1w_1r(wordWidth: Int, wordCount: Int) extends BlackBox {
           val generic = new Generic {
               val wordCount = Ram_1w_1r.this.wordCount
               val wordWidth = Ram_1w_1r.this.wordWidth
           }
           val io = new Bundle {
               val clk = in Bool
               val wr = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = in Bits (wordWidth bit)
               }
               val rd = new Bundle {
                   val en   = in Bool
                   val addr = in UInt (log2Up(wordCount) bit)
                   val data = out Bits (wordWidth bit)
               }
           }
           mapClockDomain(clock=io.clk)
      }
  20. abstract class BlackBoxULogic extends BlackBox

    Create a blackBox with std_ulogic instead of std_logic

  21. class Bool extends BaseType with DataPrimitives[Bool] with BitwiseOp[Bool]

    The Bool type corresponds to a boolean value (True or False)

    The Bool type corresponds to a boolean value (True or False)

    Example:
    1. val myBool = Bool()
      myBool := False
      myBool := Bool(false)
    See also

    Bool Documentation

  22. case class BoolEdges() extends Bundle with Product with Serializable

  23. trait BoolFactory extends AnyRef

    Bool factory used for instance by the IODirection to create a in/out Bool

  24. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  25. class BundleCase extends Bundle

  26. class ClockDomain extends AnyRef

  27. trait ClockDomainBoolTag extends SpinalTag

  28. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveLevel: Polarity = HIGH, softResetActiveLevel: Polarity = HIGH, clockEnableActiveLevel: Polarity = HIGH) extends Product with Serializable

  29. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  30. class ClockEnableArea extends Area with DelayedInit

  31. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  32. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  33. class ClockingArea extends Area with DelayedInit

  34. abstract class Component extends NameableByComponent with ContextUser with ScalaLocated with DelayedInit with Stackable with OwnableRef with SpinalTagReady

    Abstract class used to create a new Component

    Abstract class used to create a new Component

    Example:
    1. class MyAndGate extends Component {
        val io = new Bundle{
          val a,b = in Bool
          val res = out Bool
        }
        io.res := io.a & io.b
      }
    See also

    Component Documentation

  35. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  36. trait ContextUser extends GlobalDataUser

  37. case class CyclesCount(value: BigInt) extends Product with Serializable

  38. trait Data extends ContextUser with NameableByComponent with Assignable with SpinalTagReady with GlobalDataUser with ScalaLocated with OwnableRef

  39. class DataPimper[T <: Data] extends DataPrimitives[T]

  40. trait DataPrimitives[T <: Data] extends AnyRef

  41. trait DataWrapper extends Data

  42. class DefaultTag extends SpinalTag

  43. case class Device(vendor: String = "?", family: String = "?", name: String = "?") extends Product with Serializable

  44. case class DoubleBuilder(d: Double) extends Product with Serializable

  45. trait DummyTrait extends AnyRef

  46. case class DumpWaveConfig(depth: Int = 0, vcdPath: String = "wave.vcd") extends Product with Serializable

  47. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  48. class EnumLiteral[T <: SpinalEnum] extends Literal with InferableEnumEncodingImpl

    Node representation which contains the value of an SpinalEnumElement

  49. class EnumPoison extends Literal with InferableEnumEncodingImpl

  50. case class ExpNumber(value: Int) extends Product with Serializable

  51. case class FixedFrequency(value: HertzNumber) extends IClockDomainFrequency with Product with Serializable

  52. class Generic extends AnyRef

    Create a generic for a BlackBox

    Create a generic for a BlackBox

    Example:
    1. class myMemory(sizeMem: Int) extends BlackBox{
          val generic = new Generic{
           val size = sizeMem
          }
          val io = new Bundle { ... }
      }
  53. class GlobalData extends AnyRef

  54. trait GlobalDataUser extends AnyRef

  55. class HardType[T <: Data] extends AnyRef

  56. case class HertzNumber(v: BigDecimal) extends PhysicalNumber[HertzNumber] with Product with Serializable

  57. trait IClockDomainFrequency extends AnyRef

  58. trait IODirection extends BaseTypeFactory

  59. abstract class ImplicitArea[T] extends Area

  60. final class IntBuilder extends AnyVal

  61. trait Language extends AnyRef

  62. implicit class LiteralBuilder extends AnyRef

  63. class MaskedLiteral extends AnyRef

  64. class Mem[T <: Data] extends DeclarationStatement with StatementDoubleLinkedContainer[Mem[_], MemPortStatement] with WidthProvider with SpinalTagReady

  65. trait MemBlackboxingPolicy extends AnyRef

  66. trait MemPortStatement extends LeafStatement with StatementDoubleLinkedContainerElement[Mem[_], MemPortStatement]

  67. class MemReadAsync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

  68. class MemReadSync extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

  69. class MemReadWrite extends MemPortStatement with WidthProvider with SpinalTagReady with ContextUser with Expression

  70. trait MemTechnologyKind extends AnyRef

  71. class MemWrite extends MemPortStatement with WidthProvider with SpinalTagReady

  72. class MemWritePayload[T <: Data] extends Bundle

  73. trait MinMaxProvider extends AnyRef

  74. abstract class MultiData extends Data

  75. trait Nameable extends OwnableRef with ContextUser

  76. trait NameableByComponent extends Nameable with GlobalDataUser

  77. class NamingScope extends AnyRef

  78. trait Num[T <: Data] extends AnyRef

    Base operations for numbers

    Base operations for numbers

    T

    the type which is associated with the base operation

  79. trait OverridedEqualsHashCode extends AnyRef

  80. trait OwnableRef extends AnyRef

  81. abstract class PhysicalNumber[T <: PhysicalNumber[_]] extends AnyRef

  82. trait Polarity extends AnyRef

  83. case class PosCount(value: Int) extends Product with Serializable

  84. class Ram_1w_1ra extends BlackBox

  85. class Ram_1w_1rs extends BlackBox

  86. class Ram_1wors extends BlackBox

  87. class Ram_1wrs extends BlackBox

  88. class Ram_2c_1w_1rs extends BlackBox

  89. class Ram_2wrs extends BlackBox

  90. implicit class RangePimper extends AnyRef

  91. trait ReadUnderWritePolicy extends AnyRef

    Created by PIC18F on 02.02.2015.

  92. case class Ref[T](value: T) extends Product with Serializable

  93. class ResetArea extends Area with DelayedInit

  94. trait ResetKind extends AnyRef

  95. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  96. class SFix extends XFix[SFix, SInt]

  97. class SFix2D extends Bundle

  98. trait SFixCast extends AnyRef

  99. trait SFixFactory extends TypeFactory

    Created by PIC on 19.05.2015.

  100. class SInt extends BitVector with Num[SInt] with MinMaxProvider with DataPrimitives[SInt] with BitwiseOp[SInt]

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    The SInt type corresponds to a vector of bits that can be used for signed integer arithmetic.

    Example:
    1. val mySInt = SInt(8 bits)
      mySInt    := S(4, 8 bits) + S"0000_1111"
      mySInt    := S(4) - S"h1A"
    See also

    SInt Documentation

  101. trait SIntFactory extends AnyRef

    SInt factory used for instance by the IODirection to create a in/out SInt

  102. implicit class SIntPimper extends AnyRef

  103. class SafeStack[T] extends AnyRef

  104. class SafeStackWithStackable[T <: Stackable] extends SafeStack[T]

  105. trait ScalaLocated extends GlobalDataUser

  106. case class SlicesCount(value: Int) extends Product with Serializable

  107. class SlowArea extends ClockingArea

  108. case class SpinalConfig(mode: SpinalMode = null, debug: Boolean = false, keepAll: Boolean = false, defaultConfigForClockDomains: ClockDomainConfig = ClockDomainConfig(), onlyStdLogicVectorAtTopLevelIo: Boolean = false, defaultClockDomainFrequency: IClockDomainFrequency = UnknownFrequency(), targetDirectory: String = ".", oneFilePerComponent: Boolean = false, netlistFileName: String = null, dumpWave: DumpWaveConfig = null, globalPrefix: String = "", anonymSignalPrefix: String = null, device: Device = Device(), genVhdlPkg: Boolean = true, mergeAsyncProcess: Boolean = false, asyncResetCombSensitivity: Boolean = false, phasesInserters: ArrayBuffer[(ArrayBuffer[Phase]) ⇒ Unit] = ..., transformationPhases: ArrayBuffer[Phase] = ArrayBuffer[Phase](), memBlackBoxers: ArrayBuffer[Phase] = ...) extends Product with Serializable

  109. class SpinalEnum extends Nameable with ScalaLocated

    Base class for creating enumeration

    Base class for creating enumeration

    Example:
    1. class MyEnum extends SpinalEnum(binarySequancial){
        val s1, s2, s3, s4 = newElement()
      }

      SpinalEnum contains a list of SpinalEnumElement that is the definition of an element. SpinalEnumCraft is the hardware representation of the the element.

    See also

    Enumeration Documentation

  110. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType with InferableEnumEncodingImpl with DataPrimitives[SpinalEnumCraft[T]]

    Hardware representation of an enumeration

  111. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

    Definition of an element of the enumeration

  112. trait SpinalEnumEncoding extends Nameable with ScalaLocated

    Trait to define an encoding

  113. class SpinalExit extends Exception

  114. trait SpinalMode extends AnyRef

  115. class SpinalReport[T <: Component] extends AnyRef

  116. trait SpinalTag extends AnyRef

  117. trait SpinalTagReady extends AnyRef

  118. trait Stackable extends AnyRef

  119. class SwitchContext extends AnyRef

  120. case class TimeNumber(v: BigDecimal) extends PhysicalNumber[TimeNumber] with Product with Serializable

  121. trait TypeFactory extends AnyRef

  122. class UFix extends XFix[UFix, UInt]

  123. class UFix2D extends Bundle

  124. trait UFixCast extends AnyRef

  125. trait UFixFactory extends TypeFactory

  126. class UInt extends BitVector with Num[UInt] with MinMaxProvider with DataPrimitives[UInt] with BitwiseOp[UInt]

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    The UInt type corresponds to a vector of bits that can be used for unsigned integer arithmetic.

    Example:
    1. val myUInt = UInt(8 bits)
       myUInt := U(2,8 bits)
       myUInt := U(2)
       myUInt := U"0000_0101"
       myUInt := U"h1A"
    See also

    UInt Documentation

  127. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

    Define an UInt 2D point

    Define an UInt 2D point

    xBitCount

    width of the x point

    yBitCount

    width of the y point

    Example:
    1. val positionOnScreen = Reg(UInt2D(log2Up(p.screenResX) bits, log2Up(p.screenResY) bits))
  128. trait UIntFactory extends AnyRef

    UInt factory used for instance by the IODirection to create a in/out UInt

  129. implicit class UIntPimper extends AnyRef

  130. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  131. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  132. class VecAccessAssign[T <: Data] extends Assignable

  133. trait VecFactory extends AnyRef

  134. class WhenContext extends ConditionalContext with ScalaLocated

  135. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

  136. class dontName extends Annotation with Annotation with ClassfileAnnotation

Value Members

  1. object ASYNC extends ResetKind

  2. object AllowMixedWidth extends SpinalTag

  3. object Analog

  4. object AnnotationUtils

  5. object ArrayManager

  6. object B extends BitVectorLiteralFactory[Bits]

  7. object BOOT extends ResetKind

  8. object BaseType

  9. implicit def BigIntToBits(that: BigInt): Bits

  10. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  11. implicit def BigIntToSInt(that: BigInt): SInt

  12. implicit def BigIntToUInt(that: BigInt): UInt

  13. def Bits(width: BitCount): Bits

    Create a new Bits of a given width

    Create a new Bits of a given width

    Definition Classes
    BitsFactory
  14. def Bits(): Bits

    Create a new Bits

    Create a new Bits

    Definition Classes
    BitsFactory
  15. def Bool(value: Boolean): Bool

    Create a new Bool initialized with a boolean value

    Create a new Bool initialized with a boolean value

    Definition Classes
    BoolFactory
  16. def Bool(): Bool

    Create a new Bool

    Create a new Bool

    Definition Classes
    BoolFactory
  17. object Bundle

    Created by PIC18F on 08.01.2015.

  18. object COMMENT_ATTRIBUTE extends AttributeKind

  19. object Cat

  20. object ClockDomain

  21. object Component

    Component companion

  22. object ConditionalContext

  23. object CyclesCount extends Serializable

  24. object DEFAULT_ATTRIBUTE extends AttributeKind

  25. object Data

  26. object DataAssign

  27. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  28. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  29. object Driver

  30. object ERROR extends AssertNodeSeverity

  31. implicit def EnumCtoEnumC2[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T2]): SpinalEnumCraft[T]

  32. implicit def EnumCtoEnumC3[T <: SpinalEnum, T2 <: T](craft: SpinalEnumCraft[T]): SpinalEnumCraft[T2]

  33. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  34. implicit def EnumEtoEnumE2[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T2]): SpinalEnumElement[T]

  35. implicit def EnumEtoEnumE3[T <: SpinalEnum, T2 <: T](element: SpinalEnumElement[T]): SpinalEnumElement[T2]

  36. object FAILURE extends AssertNodeSeverity

  37. object FALLING extends EdgeKind

  38. def False: Bool

  39. object GlobalData

  40. object HIGH extends Polarity

  41. object HardType

  42. object ImplicitArea

  43. object Info

  44. object InitAssign

  45. implicit def IntToBits(that: Int): Bits

  46. implicit def IntToBuilder(value: Int): IntBuilder

  47. implicit def IntToSInt(that: Int): SInt

  48. implicit def IntToUInt(that: Int): UInt

  49. object LOW extends Polarity

  50. object Language

  51. object LocatedPendingError

  52. object MaskedLiteral

  53. object Mem

  54. object MemReadAsync

  55. object MemReadSync

  56. object MemReadWrite

  57. object MemWrite

  58. object Mux

  59. object NOTE extends AssertNodeSeverity

  60. object Nameable

  61. object OwnableRef

  62. object PendingError

  63. object PhysicalNumber

  64. object RISING extends EdgeKind

  65. object Reg

  66. object RegInit

  67. object RegNext

  68. object RegNextWhen

  69. object S extends BitVectorLiteralFactory[SInt]

  70. object SF

  71. def SFix(peak: ExpNumber, resolution: ExpNumber): SFix

    Definition Classes
    SFixFactory
  72. def SFix(peak: ExpNumber, width: BitCount): SFix

    Definition Classes
    SFixFactory
  73. object SFix2D

  74. def SInt(width: BitCount): SInt

    Create a new SInt of a given width

    Create a new SInt of a given width

    Definition Classes
    SIntFactory
  75. def SInt(): SInt

    Create a new SInt

    Create a new SInt

    Definition Classes
    SIntFactory
  76. object SYNC extends ResetKind

  77. object ScalaLocated

  78. object Sel

  79. object Select

  80. object SeqMux

  81. object Spinal

  82. object SpinalConfig extends Serializable

  83. object SpinalEnumEncoding

    Used to create a custom encoding

    Used to create a custom encoding

    Example:
    1. object BR extends SpinalEnum{
        val NE, EQ, J, JR = newElement()
        defaultEncoding = SpinalEnumEncoding("opt")(
            EQ -> 0,
            NE -> 1,
            J  -> 2,
            JR -> 3 )
      }
  84. object SpinalError

  85. object SpinalExit extends Serializable

  86. object SpinalInfo

  87. object SpinalLog

  88. object SpinalMap

  89. object SpinalProgress

  90. object SpinalTagReady

  91. object SpinalVerilog

  92. object SpinalVhdl

  93. object SpinalWarning

  94. implicit def StringToBits(that: String): Bits

  95. implicit def StringToSInt(that: String): SInt

  96. implicit def StringToUInt(that: String): UInt

  97. object SyncNode

  98. def True: Bool

  99. object U extends BitVectorLiteralFactory[UInt]

  100. object UF

  101. def UFix(peak: ExpNumber, resolution: ExpNumber): UFix

    Definition Classes
    UFixFactory
  102. def UFix(peak: ExpNumber, width: BitCount): UFix

    Definition Classes
    UFixFactory
  103. object UFix2D

  104. def UInt(width: BitCount): UInt

    Create a new UInt of a given width

    Create a new UInt of a given width

    Definition Classes
    UIntFactory
  105. def UInt(): UInt

    Create a new UInt

    Create a new UInt

    Definition Classes
    UIntFactory
  106. object UInt2D extends Serializable

  107. object VHDL extends SpinalMode

  108. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  109. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  110. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  111. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  112. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  113. object Verilator

  114. object Verilog extends SpinalMode

  115. object WARNING extends AssertNodeSeverity

  116. object allowAssignmentOverride extends SpinalTag

  117. object allowDirectionLessIoTag extends SpinalTag

  118. def assert(assertion: Bool, message: Seq[Any], severity: AssertNodeSeverity): Unit

  119. def assert(assertion: Bool, message: String, severity: AssertNodeSeverity): Unit

  120. def assert(assertion: Bool, severity: AssertNodeSeverity): Unit

  121. def assert(assertion: Bool, message: Seq[Any]): Unit

  122. def assert(assertion: Bool, message: String): Unit

  123. def assert(assertion: Bool): Unit

  124. final def assert(assertion: Boolean, message: ⇒ Any): Unit

    Annotations
    @elidable( ASSERTION ) @inline()
  125. def assert(assertion: Boolean): Unit

    Annotations
    @elidable( ASSERTION )
  126. object auto extends MemTechnologyKind

  127. object binaryOneHot extends SpinalEnumEncoding

    Binary One hot encoding

    Binary One hot encoding

    Example:
    1. 000, 010, 100
  128. object binarySequential extends SpinalEnumEncoding

    Binary Sequential

    Binary Sequential

    Example:
    1. 000, 001, 010, 011, 100, 101, ....
  129. object blackboxAll extends MemBlackboxingPolicy

  130. object blackboxAllWhatsYouCan extends MemBlackboxingPolicy

  131. object blackboxOnlyIfRequested extends MemBlackboxingPolicy

  132. object blackboxRequestedAndUninferable extends MemBlackboxingPolicy

  133. object cloneOf

  134. object cloneable

  135. object crossClockBuffer extends SpinalTag

  136. object crossClockDomain extends SpinalTag

  137. object default

  138. object distributedLut extends MemTechnologyKind

  139. object dontCare extends ReadUnderWritePolicy

  140. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  141. object ifGen

  142. implicit lazy val implicitConversions: implicitConversions

  143. object in extends IODirection

  144. object inWithNull extends IODirection

  145. object inferred extends SpinalEnumEncoding

    Inferred encoding

  146. object inout extends IODirection

  147. package internals

  148. object is

  149. object isPow2

  150. object log2Up

  151. object native extends SpinalEnumEncoding

    Native encoding

  152. object noCombinatorialLoopCheck extends SpinalTag

  153. object out extends IODirection

  154. object outWithNull extends IODirection

  155. def postTypeFactory[T <: Data](that: T): T

    Definition Classes
    TypeFactory
  156. implicit lazy val postfixOps: postfixOps

  157. object ramBlock extends MemTechnologyKind

  158. object randomBoot extends SpinalTag

  159. object readFirst extends ReadUnderWritePolicy

  160. implicit lazy val reflectiveCalls: reflectiveCalls

  161. object registerFile extends MemTechnologyKind

  162. def report(message: Seq[Any], severity: AssertNodeSeverity): Unit

  163. def report(message: String, severity: AssertNodeSeverity): Unit

  164. def report(message: Seq[Any]): Unit

  165. def report(message: String): Unit

  166. object roundUp

  167. object signalCache

  168. object switch

  169. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  170. object tagAutoResize extends SpinalTag

  171. object tagTruncated extends SpinalTag

  172. object uLogic extends SpinalTag

    Create a Ulogic tag used by Blackbox in order to transform std_logic into std_ulogic

  173. object unsetRegIfNoAssignementTag extends SpinalTag

  174. object unusedTag extends SpinalTag

  175. object weakCloneOf

  176. object when

  177. object widthOf

  178. object writeFirst extends ReadUnderWritePolicy

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  3. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
    Annotations
    @deprecated
    Deprecated
  4. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
    Annotations
    @deprecated
    Deprecated
  5. object wrap

    Annotations
    @deprecated
    Deprecated

    Use cloneable instead

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BaseTypeFactory

Inherited from UFixFactory

Inherited from SFixFactory

Inherited from TypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped