spinal

core

package core

Linear Supertypes
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. core
  2. BaseTypeCast
  3. UFixCast
  4. SFixCast
  5. BitsCast
  6. SIntCast
  7. UIntCast
  8. BoolCast
  9. BaseTypeFactory
  10. VecFactory
  11. SIntFactory
  12. UIntFactory
  13. BitsFactory
  14. BoolFactory
  15. AnyRef
  16. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Type Members

  1. trait Area extends Nameable with ContextUser

  2. trait Assignable extends AnyRef

  3. class AssignedBits extends AnyRef

  4. class AssignedRange extends AnyRef

  5. trait AssignementNode extends Node

  6. trait Attribute extends AnyRef

  7. class AttributeFlag extends Attribute

  8. trait AttributeReady extends AnyRef

    Created by PIC18F on 09.02.2015.

  9. class AttributeString extends Attribute

  10. class Backend extends AnyRef

  11. class BackendReport[T <: Component] extends AnyRef

  12. abstract class BaseType extends Node with Data with Nameable

  13. trait BaseTypeCast extends BoolCast with UIntCast with SIntCast with BitsCast with SFixCast with UFixCast

  14. trait BaseTypeFactory extends BoolFactory with BitsFactory with UIntFactory with SIntFactory with VecFactory

    Created by PIC18F on 21.08.2014.

  15. case class BigIntBuilder(i: BigInt) extends Product with Serializable

  16. class BitAssignmentFixed extends Node with AssignementNode

  17. class BitAssignmentFloating extends Node with AssignementNode

  18. case class BitCount(value: Int) extends Product with Serializable

  19. abstract class BitVector extends BaseType

  20. abstract class BitVectorLiteralFactory[T <: BitVector] extends AnyRef

  21. final class BitVectorPimper[T <: BitVector] extends AnyVal

  22. class Bits extends BitVector

  23. class BitsAllToLiteral extends Node with Literal

  24. trait BitsCast extends AnyRef

    Created by PIC18F on 16.01.2015.

  25. trait BitsFactory extends AnyRef

  26. class BitsLiteral extends Node with Literal

  27. abstract class BlackBox extends Component with SpinalTagReady

  28. abstract class BlackBoxULogic extends BlackBox

  29. class Bool extends BaseType

  30. trait BoolCast extends AnyRef

  31. trait BoolFactory extends AnyRef

  32. class BoolLiteral extends Node with Literal

  33. class Bundle extends MultiData with Nameable with OverridedEqualsHashCode

  34. class BundleCase extends Bundle

  35. class CaseContext extends ConditionalContext

  36. class CaseNode extends Node

  37. class Cast extends Modifier

  38. class ClockDomain extends AnyRef

  39. trait ClockDomainBoolTag extends SpinalTag

  40. case class ClockDomainConfig(clockEdge: EdgeKind = RISING, resetKind: ResetKind = ASYNC, resetActiveHigh: Boolean = true, clockEnableActiveHigh: Boolean = true) extends Product with Serializable

  41. case class ClockDomainTag(clockDomain: ClockDomain) extends SpinalTag with Product with Serializable

  42. class ClockEnableArea extends Area with DelayedInit

  43. case class ClockEnableTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  44. case class ClockTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  45. class ClockingArea extends Area with DelayedInit

  46. abstract class Component extends NameableByComponent with GlobalDataUser with ScalaLocated with DelayedInit

  47. trait ConditionalContext extends GlobalDataUser

    Created by PIC18F on 11.01.2015.

  48. trait ContextUser extends GlobalDataUser

  49. trait Data extends ContextUser with NameableByComponent with Assignable with AttributeReady with SpinalTagReady with GlobalDataUser with ScalaLocated

  50. final class DataPimper[T <: Data] extends AnyVal

  51. abstract class DontCareNode extends Node

  52. class DontCareNodeFixed extends DontCareNode

  53. class DontCareNodeInfered extends DontCareNode

  54. case class DoubleBuilder(d: Double) extends Product with Serializable

  55. trait EdgeKind extends AnyRef

    Created by PIC18F on 21.08.2014.

  56. class EnumCast extends Modifier

  57. class EnumData extends SpinalEnum

  58. class EnumFsm extends SpinalEnum

  59. class EnumLiteral[T <: SpinalEnum] extends Node with Literal

  60. case class ExpCount(value: Int) extends Product with Serializable

  61. abstract class Extract extends Modifier

  62. class ExtractBitsVectorFixed extends Extract

  63. class ExtractBitsVectorFloating extends Extract

  64. class ExtractBoolFixed extends Extract

  65. class ExtractBoolFloating extends Extract

  66. case class FixedFrequency(value: Double) extends IClockDomainFrequency with Product with Serializable

  67. class Function extends Modifier

  68. class Generic extends AnyRef

    Created by PIC18F on 24.01.2015.

  69. class GlobalData extends AnyRef

  70. trait GlobalDataUser extends AnyRef

  71. trait IClockDomainFrequency extends AnyRef

  72. trait IODirection extends BaseTypeFactory

  73. abstract class ImplicitArea[T] extends Area

  74. final class IntBuilder extends AnyVal

  75. class IntLiteral extends Node with Literal with MinMaxProvider

  76. trait Literal extends Node

  77. implicit final class LiteralBuilder extends AnyVal

  78. case class LiteralInt(value: BigInt) extends Product with Serializable

  79. class MaskedLiteral extends AnyRef

  80. class Mem[T <: Data] extends Node with Nameable

  81. class MemReadAsync extends Node

  82. class MemReadSync extends SyncNode

  83. class MemWrite extends SyncNode

  84. class MemWriteOrRead_readPart extends SyncNode

  85. class MemWriteOrRead_writePart extends SyncNode

  86. class MemWritePayload[T <: Data] extends Bundle

  87. trait MemWriteToReadKind extends AnyRef

    Created by PIC18F on 02.02.2015.

  88. trait MinMaxProvider extends AnyRef

  89. class Modifier extends Node

  90. abstract class MultiData extends Data

  91. class MultiPhase extends Phase

  92. class MultipleAssignmentNode extends Node

  93. class Multiplexer extends Modifier

  94. trait Nameable extends AnyRef

  95. trait NameableByComponent extends Nameable with GlobalDataUser

  96. abstract class Node extends ContextUser with ScalaLocated with SpinalTagReady with GlobalDataUser

  97. class NoneNode extends Node

  98. trait Num[T <: Data] extends AnyRef

  99. class Operator extends Modifier

  100. trait OverridedEqualsHashCode extends AnyRef

  101. trait Phase extends AnyRef

  102. class RInt extends MultiData with Num[RInt]

  103. class Ram_1c_1w_1ra extends BlackBox

  104. class Ram_1c_1w_1rs extends BlackBox

  105. class Ram_1wors extends BlackBox

  106. class Ram_1wrs extends BlackBox

  107. class RangedAssignmentFixed extends Node with AssignementNode

  108. class RangedAssignmentFloating extends Node with AssignementNode

  109. class Reg extends SyncNode with Assignable

  110. class ResetArea extends Area with DelayedInit

  111. trait ResetKind extends AnyRef

  112. case class ResetTag(clockDomain: ClockDomain) extends ClockDomainBoolTag with Product with Serializable

  113. class SFix extends XFix[SFix, SInt]

  114. class SFix2D extends Bundle

  115. trait SFixCast extends AnyRef

  116. class SInt extends BitVector with Num[SInt] with MinMaxProvider

  117. trait SIntCast extends AnyRef

    Created by PIC18F on 21.08.2014.

  118. trait SIntFactory extends AnyRef

  119. implicit class SIntPimper extends AnyRef

  120. class STime extends AnyRef

  121. class SafeStack[T] extends AnyRef

  122. trait ScalaLocated extends GlobalDataUser

  123. class Scope extends AnyRef

  124. class SpinalEnum extends Nameable

  125. class SpinalEnumCraft[T <: SpinalEnum] extends BaseType

  126. class SpinalEnumElement[T <: SpinalEnum] extends Nameable

  127. trait SpinalEnumEncoding extends Nameable

  128. class SpinalExit extends Exception

  129. trait SpinalTag extends AnyRef

  130. trait SpinalTagReady extends AnyRef

  131. class SpinalVhdl[T <: Component] extends AnyRef

  132. class SwitchContext extends ConditionalContext

  133. class SwitchNode extends Node

  134. class SwitchStack extends AnyRef

  135. abstract class SyncNode extends Node

  136. class TagDefault extends SpinalTag

    Created by PIC18F on 21.08.2014.

  137. class UFix extends XFix[UFix, UInt]

  138. class UFix2D extends Bundle

  139. trait UFixCast extends AnyRef

  140. class UInt extends BitVector with Num[UInt] with MinMaxProvider

  141. case class UInt2D(xBitCount: BitCount, yBitCount: BitCount) extends Bundle with Product with Serializable

  142. trait UIntCast extends AnyRef

  143. trait UIntFactory extends AnyRef

  144. implicit class UIntPimper extends AnyRef

  145. case class UnknownFrequency() extends IClockDomainFrequency with Product with Serializable

  146. class Vec[T <: Data] extends MultiData with IndexedSeq[T]

  147. class VecAccessAssign[T <: BaseType] extends Assignable

  148. class VecBaseType[T <: BaseType] extends BaseType

    Created by PIC32F_USER on 12/02/2016.

  149. class VecBaseTypeAssignFixed extends Node with AssignementNode

  150. class VecBaseTypeExtractFixed extends Node

  151. trait VecFactory extends AnyRef

  152. class VhdlBackend extends Backend with VhdlBase

    Created by PIC18F on 07.01.2015.

  153. trait VhdlBase extends AnyRef

    Created by PIC18F on 07.01.2015.

  154. class VhdlTestBenchBackend extends VhdlBase

  155. class WhenContext extends Node with ConditionalContext

  156. class WhenNode extends Node

  157. abstract class WidthChecker extends AnyRef

  158. class WidthCheckerAugment extends WidthChecker

  159. class WidthCheckerEguals extends WidthChecker

  160. class WidthCheckerReduce extends WidthChecker

  161. abstract class XFix[T <: XFix[T, R], R <: BitVector with Num[R]] extends MultiData

Value Members

  1. object ASYNC extends ResetKind

  2. object AssignedBits

  3. object AssignedRange

  4. object B extends BitVectorLiteralFactory[Bits]

  5. object BaseType

  6. implicit def BigIntToBits(that: BigInt): Bits

  7. implicit def BigIntToBuilder(value: BigInt): BigIntBuilder

  8. implicit def BigIntToSInt(that: BigInt): SInt

  9. implicit def BigIntToUInt(that: BigInt): UInt

  10. object BinaryOperator

  11. implicit def BitVectorPimped[T <: BitVector](that: T): BitVectorPimper[T]

  12. def Bits(width: BitCount): Bits

    Definition Classes
    BitsFactory
  13. def Bits(): Bits

    Definition Classes
    BitsFactory
  14. object BitsLiteral

  15. object BitsSet

  16. def Bool(value: Boolean): Bool

    Definition Classes
    BoolFactory
  17. def Bool(): Bool

    Definition Classes
    BoolFactory
  18. object BoolLiteral

  19. object BoolReg

  20. object Bundle

    Created by PIC18F on 08.01.2015.

  21. object Cast

  22. object Cat

  23. object ClockDomain

  24. object Component

  25. object Context

    Created by PIC18F on 21.08.2014.

  26. object Data

  27. implicit def DataPimped[T <: Data](that: T): DataPimper[T]

  28. implicit def DoubleToBuilder(value: Double): DoubleBuilder

  29. object Driver

    Created by PIC18F on 07.01.2015.

  30. object Encoding

  31. object EnumCast

  32. implicit def EnumElementToCraft[T <: SpinalEnum](element: SpinalEnumElement[T]): SpinalEnumCraft[T]

  33. object FALLING extends EdgeKind

  34. def False: Bool

  35. object Function

  36. object GlobalData

  37. object ImplicitArea

  38. object InputNormalize

  39. object IntLiteral

  40. implicit def IntToBits(that: Int): Bits

  41. implicit def IntToBuilder(value: Int): IntBuilder

  42. implicit def IntToSInt(that: Int): SInt

  43. implicit def IntToUInt(that: Int): UInt

  44. object MacroTest

  45. object MaskedLiteral

  46. object Mem

  47. object MemReadSync

  48. object MemWrite

  49. object MemWriteOrRead_readPart

  50. object MemWriteOrRead_writePart

  51. object Misc

  52. object MultiData

  53. object Mux

  54. object Node

  55. object NoneNode

  56. object RISING extends EdgeKind

  57. object RInt

    Created by PIC32F_USER on 05/01/2016.

  58. object Reg

  59. object RegInit

  60. object RegNext

  61. object RegNextWhen

  62. object RegS

  63. object Resize

  64. object S extends BitVectorLiteralFactory[SInt]

  65. object SFix

    Created by PIC on 19.05.2015.

  66. object SFix2D

  67. def SInt(width: BitCount): SInt

    Definition Classes
    SIntFactory
  68. def SInt(): SInt

    Definition Classes
    SIntFactory
  69. object SYNC extends ResetKind

  70. object ScalaLocated

  71. object ScalaUniverse

  72. object Sel

  73. object SeqMux

  74. object SpinalError

  75. object SpinalExit extends Serializable

  76. object SpinalInfo

  77. object SpinalInfoPhase

  78. object SpinalLog

  79. object SpinalMap

  80. object SpinalVhdl

  81. object SpinalWarning

  82. implicit def StringToBits(that: String): Bits

  83. implicit def StringToSInt(that: String): SInt

  84. implicit def StringToUInt(that: String): UInt

  85. object SyncNode

  86. def True: Bool

  87. object U extends BitVectorLiteralFactory[UInt]

  88. object UFix

  89. object UFix2D

  90. def UInt(width: BitCount): UInt

    Definition Classes
    UIntFactory
  91. def UInt(): UInt

    Definition Classes
    UIntFactory
  92. object UInt2D extends Serializable

  93. object UnaryOperator

  94. def Vec[T <: Data](firstElement: T, followingElements: T*): Vec[T]

    Definition Classes
    VecFactory
  95. def Vec[T <: Data](gen: (Int) ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  96. def Vec[T <: Data](gen: Vec[T], size: Int): Vec[Vec[T]]

    Definition Classes
    VecFactory
  97. def Vec[T <: Data](gen: ⇒ T, size: Int): Vec[T]

    Definition Classes
    VecFactory
  98. def Vec[T <: Data](elements: TraversableOnce[T]): Vec[T]

    Definition Classes
    VecFactory
  99. object WhenNode

  100. object WidthInfer

  101. object ZeroWidth

  102. def asBits(that: Data): Bits

    Definition Classes
    BitsCast
  103. def asBool(that: SInt): Bool

    Definition Classes
    BoolCast
  104. def asBool(that: UInt): Bool

    Definition Classes
    BoolCast
  105. def asBool(that: Bits): Bool

    Definition Classes
    BoolCast
  106. def asSInt(that: UInt): SInt

    Definition Classes
    SIntCast
  107. def asSInt(that: Bits): SInt

    Definition Classes
    SIntCast
  108. def asSInt(that: Bool): SInt

    Definition Classes
    SIntCast
  109. def asUInt(that: SInt): UInt

    Definition Classes
    UIntCast
  110. def asUInt(that: Bits): UInt

    Definition Classes
    UIntCast
  111. def asUInt(that: Bool): UInt

    Definition Classes
    UIntCast
  112. object cloneOf

  113. object crossClockBuffer extends SpinalTag

  114. object crossClockDomain extends SpinalTag

  115. object default

  116. object default2

  117. object dontCare extends MemWriteToReadKind

  118. macro def enum(param: Symbol*): Any

  119. def fill[T <: Data](size: Int)(gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  120. object ifGen

  121. implicit lazy val implicitConversions: implicitConversions

  122. object in extends IODirection

  123. object inWithNull extends IODirection

  124. object is

  125. object is2

  126. object isPow2

  127. object log2Up

  128. object native extends SpinalEnumEncoding

  129. object oneHot extends SpinalEnumEncoding

  130. object out extends IODirection

  131. object outWithNull extends IODirection

  132. implicit lazy val postfixOps: postfixOps

  133. object randomBoot extends SpinalTag

  134. object readFirst extends MemWriteToReadKind

  135. implicit lazy val reflectiveCalls: reflectiveCalls

  136. object roundUp

  137. object sequancial extends SpinalEnumEncoding

  138. object signalCache

  139. object switch

  140. object switch2

  141. def tabulate[T <: Data](size: Int)(gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
  142. object tagAutoResize extends SpinalTag

  143. def toSFix(sint: SInt): SFix

    Definition Classes
    SFixCast
  144. def toSInt(that: SFix): SInt

    Definition Classes
    SIntCast
  145. def toUFix(uint: UInt): UFix

    Definition Classes
    UFixCast
  146. def toUInt(that: UFix): UInt

    Definition Classes
    UIntCast
  147. object uLogic extends SpinalTag

  148. object when

  149. object widthOf

  150. object wrap

  151. object writeFirst extends MemWriteToReadKind

Deprecated Value Members

  1. def Vec[T <: Data](size: Int, gen: (Int) ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated
  2. def Vec[T <: Data](size: Int, gen: ⇒ T): Vec[T]

    Definition Classes
    VecFactory
    Annotations
    @deprecated
    Deprecated

Inherited from BaseTypeCast

Inherited from UFixCast

Inherited from SFixCast

Inherited from BitsCast

Inherited from SIntCast

Inherited from UIntCast

Inherited from BoolCast

Inherited from BaseTypeFactory

Inherited from VecFactory

Inherited from SIntFactory

Inherited from UIntFactory

Inherited from BitsFactory

Inherited from BoolFactory

Inherited from AnyRef

Inherited from Any

Ungrouped