Packages

p

chisel3

internal

package internal

Ordering
  1. Alphabetic
Visibility
  1. Public
  2. All

Type Members

  1. class RangeTransform extends AnyRef

    Convert the string to IntervalRange, with unknown, open or closed endpoints and a binary point ranges looks like range"[0,4].1" range starts at 0 inclusive ends at 4.inclusively with a binary point of 1 range"(0,4).1" range starts at 0 exclusive ends at 4.exclusively with a binary point of 1

    Convert the string to IntervalRange, with unknown, open or closed endpoints and a binary point ranges looks like range"[0,4].1" range starts at 0 inclusive ends at 4.inclusively with a binary point of 1 range"(0,4).1" range starts at 0 exclusive ends at 4.exclusively with a binary point of 1

    the min and max of the range are the actually min and max values, thus the binary point becomes a sort of multiplier for the number of bits. E.g. range"[0,3].2" will require at least 4 bits two provide the two decimal places

  2. class RuntimeDeprecatedTransform extends AnyRef
  3. macro class chiselRuntimeDeprecated extends Annotation with StaticAnnotation
    Annotations
    @compileTimeOnly( ... )

Ungrouped