Package

chisel3.stage

phases

Permalink

package phases

Visibility
  1. Public
  2. All

Type Members

  1. class AddImplicitOutputAnnotationFile extends Phase with PreservesAll[Phase]

    Permalink

    Adds an firrtl.options.OutputAnnotationFileAnnotation if one does not exist.

    Adds an firrtl.options.OutputAnnotationFileAnnotation if one does not exist. This replicates old behavior where an output annotation file was always written.

  2. class AddImplicitOutputFile extends Phase with PreservesAll[Phase]

    Permalink

    Add a output file for a Chisel circuit, derived from the top module in the circuit, if no ChiselOutputFileAnnotation already exists.

  3. class AspectPhase extends Phase

    Permalink

    Phase that consumes all Aspects and calls their toAnnotationSeq methods.

    Phase that consumes all Aspects and calls their toAnnotationSeq methods.

    Consumes the chisel3.stage.DesignAnnotation and converts every Aspect into their annotations prior to executing FIRRTL

  4. class Checks extends Phase with PreservesAll[Phase]

    Permalink

    Sanity checks an firrtl.AnnotationSeq before running the main firrtl.options.Phases of chisel3.stage.ChiselStage.

  5. class Convert extends Phase with PreservesAll[Phase]

    Permalink

    This prepares a ChiselCircuitAnnotation for compilation with FIRRTL.

    This prepares a ChiselCircuitAnnotation for compilation with FIRRTL. This does three things:

  6. class Elaborate extends Phase with PreservesAll[Phase]

    Permalink

    Elaborate all chisel3.stage.ChiselGeneratorAnnotations into chisel3.stage.ChiselCircuitAnnotations.

  7. class Emitter extends Phase

    Permalink

    Emit a chisel3.stage.ChiselCircuitAnnotation to a file if a chisel3.stage.ChiselOutputFileAnnotation is present.

    Emit a chisel3.stage.ChiselCircuitAnnotation to a file if a chisel3.stage.ChiselOutputFileAnnotation is present. A deleted firrtl.EmittedFirrtlCircuitAnnotation is added.

    To do

    The use of the deleted firrtl.EmittedFirrtlCircuitAnnotation is a kludge to provide some breadcrumbs such that the emitted CHIRRTL can be provided back to the old Driver. This should be removed or a better solution developed.

    ,

    This should be switched to support correct emission of multiple circuits to multiple files. The API should likely mirror how the firrtl.stage.phases.Compiler parses annotations into "global" annotations and left-associative per-circuit annotations.

  8. class MaybeAspectPhase extends Phase with PreservesAll[Phase]

    Permalink

    Run AspectPhase if a chisel3.aop.Aspect is present.

  9. class MaybeFirrtlStage extends Phase with PreservesAll[Phase]

    Permalink

    Run firrtl.stage.FirrtlStage if a chisel3.stage.NoRunFirrtlCompilerAnnotation is not present.

Value Members

  1. object DriverCompatibility

    Permalink

    This provides components of a compatibility wrapper around Chisel's deprecated chisel3.Driver.

    This provides components of a compatibility wrapper around Chisel's deprecated chisel3.Driver.

    Primarily, this object includes Phases that generate firrtl.annotations.Annotations derived from the deprecated firrtl.stage.phases.DriverCompatibility.TopNameAnnotation.

Ungrouped