Packages

p

chisel3

package chisel3

The chisel3 package contains the chisel3 API. It maps core components into the public chisel3 namespace.

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chisel3
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. implicit final class AddDirectionToData [T <: Data] extends AnyVal
  2. type Aggregate = chisel3.core.Aggregate
  3. trait BackendCompilationUtilities extends firrtl.util.BackendCompilationUtilities
  4. type Binary = chisel3.core.Binary
  5. type Bits = chisel3.core.Bits
  6. type BlackBox = chisel3.core.BlackBox
  7. type Bool = chisel3.core.Bool
  8. trait BoolFactory extends chisel3.core.BoolFactory

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you move your code soon.

  9. type Bundle = chisel3.core.Bundle
  10. type Character = chisel3.core.Character
  11. type ChiselException = chisel3.internal.ChiselException
  12. case class ChiselExecutionFailure (message: String) extends ChiselExecutionResult with Product with Serializable

    Getting one of these indicates failure of some sort

    Getting one of these indicates failure of some sort

    message

    a clue perhaps will be provided in the here

  13. case class ChiselExecutionOptions (runFirrtlCompiler: Boolean = true) extends ComposableOptions with Product with Serializable

    Options that are specific to chisel.

    Options that are specific to chisel.

    runFirrtlCompiler

    when true just run chisel, when false run chisel then compile its output with firrtl

    Note

    this extends FirrtlExecutionOptions which extends CommonOptions providing easy access to down chain options

  14. trait ChiselExecutionResult extends AnyRef

    This family provides return values from the chisel3 and possibly firrtl compile steps

  15. case class ChiselExecutionSuccess (circuitOption: Option[Circuit], emitted: String, firrtlResultOption: Option[FirrtlExecutionResult]) extends ChiselExecutionResult with Product with Serializable

    circuitOption

    Optional circuit, has information like circuit name

    emitted

    The emitted Chirrrl text

    firrtlResultOption

    Optional Firrtl result, @see ucb-bar/firrtl for details

  16. type Clock = chisel3.core.Clock
  17. type Data = chisel3.core.Data
  18. type Decimal = chisel3.core.Decimal
  19. type Element = chisel3.core.Element
  20. type FirrtlFormat = chisel3.core.FirrtlFormat
  21. type FullName = chisel3.core.FullName
  22. trait HasChiselExecutionOptions extends AnyRef
  23. type Hexadecimal = chisel3.core.Hexadecimal
  24. type Mem[T <: Data] = chisel3.core.Mem[T]
  25. type MemBase[T <: Data] = chisel3.core.MemBase[T]
  26. type Module = LegacyModule
  27. type Name = chisel3.core.Name
  28. type Num[T <: Data] = chisel3.core.Num[T]
  29. type PString = chisel3.core.PString
  30. type Printable = chisel3.core.Printable
  31. implicit final class PrintableHelper extends AnyVal

    Implicit for custom Printable string interpolator

  32. type Printables = chisel3.core.Printables
  33. type Record = chisel3.core.Record
  34. type SInt = chisel3.core.SInt
  35. trait SIntFactory extends chisel3.core.SIntFactory

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you move your code soon.

  36. type SyncReadMem[T <: Data] = chisel3.core.SyncReadMem[T]
  37. type UInt = chisel3.core.UInt
  38. trait UIntFactory extends chisel3.core.UIntFactory

    This contains literal constructor factory methods that are deprecated as of Chisel3.

    This contains literal constructor factory methods that are deprecated as of Chisel3. These will be removed very soon. It's recommended you port your code ASAP.

  39. type Vec[T <: Data] = chisel3.core.Vec[T]
  40. type VecLike[T <: Data] = chisel3.core.VecLike[T]
  41. type WhenContext = chisel3.core.WhenContext
  42. implicit final class cloneTypeable [T <: Data] extends AnyVal
  43. implicit class fromBigIntToLiteral extends chisel3.core.fromBigIntToLiteral
  44. implicit class fromBitsable [T <: Data] extends AnyRef
  45. implicit class fromBooleanToLiteral extends chisel3.core.fromBooleanToLiteral
  46. implicit class fromDoubleToLiteral extends chisel3.core.fromDoubleToLiteral
  47. implicit class fromIntToBinaryPoint extends chisel3.core.fromIntToBinaryPoint
  48. implicit class fromIntToWidth extends chisel3.core.fromIntToWidth
  49. implicit class fromStringToLiteral extends chisel3.core.fromStringToLiteral
  50. implicit class fromUIntToBitPatComparable extends AnyRef
  51. implicit class fromtIntToLiteral extends fromIntToLiteral
  52. implicit class fromtLongToLiteral extends fromLongToLiteral
  53. type SeqMem[T <: Data] = chisel3.core.SyncReadMem[T]
    Annotations
    @deprecated
    Deprecated

    (Since version chisel3) Use 'SyncReadMem'

Value Members

  1. val Binary: chisel3.core.Binary.type
  2. val Character: chisel3.core.Character.type
  3. val Clock: chisel3.core.Clock.type
  4. val Decimal: chisel3.core.Decimal.type
  5. val DontCare: chisel3.core.DontCare.type
  6. val FirrtlFormat: chisel3.core.FirrtlFormat.type
  7. val Flipped: chisel3.core.Flipped.type
  8. val FullName: chisel3.core.FullName.type
  9. val Hexadecimal: chisel3.core.Hexadecimal.type
  10. val Input: chisel3.core.Input.type
  11. val Mem: chisel3.core.Mem.type
  12. val Module: chisel3.core.Module.type
  13. val Mux: chisel3.core.Mux.type
  14. val Name: chisel3.core.Name.type
  15. val Output: chisel3.core.Output.type
  16. val PString: chisel3.core.PString.type
  17. val Percent: chisel3.core.Percent.type
  18. val Printable: chisel3.core.Printable.type
  19. val Printables: chisel3.core.Printables.type
  20. val RegInit: chisel3.core.RegInit.type
  21. val RegNext: chisel3.core.RegNext.type
  22. val SyncReadMem: chisel3.core.SyncReadMem.type
  23. val VecInit: chisel3.core.VecInit.type
  24. val WireInit: chisel3.core.WireInit.type
  25. val assert: chisel3.core.assert.type
  26. val chiselTypeOf: chisel3.core.chiselTypeOf.type
  27. def getDataElements(a: Aggregate): Seq[Element]
  28. def getModulePorts(m: Module): Seq[Port]
  29. val printf: chisel3.core.printf.type
  30. implicit def string2Printable(str: String): Printable
  31. val when: chisel3.core.when.type
  32. object Bits extends UIntFactory
  33. object Bool extends BoolFactory
  34. object BuildInfo extends Product with Serializable

    This object was generated by sbt-buildinfo.

  35. object Driver extends BackendCompilationUtilities
  36. object Reg
  37. object SInt extends SIntFactory
  38. object UInt extends UIntFactory
  39. object Vec extends VecFactory
  40. object Wire extends WireFactory
  41. object experimental

    Package for experimental features, which may have their API changed, be removed, etc.

    Package for experimental features, which may have their API changed, be removed, etc.

    Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

Deprecated Value Members

  1. val SeqMem: chisel3.core.SyncReadMem.type
    Annotations
    @deprecated
    Deprecated

    (Since version chisel3) Use 'SyncReadMem'

Inherited from AnyRef

Inherited from Any

Ungrouped