Packages

  • package root

    This is the documentation for Chisel.

    This is the documentation for Chisel.

    Package structure

    The chisel3 package presents the public API of Chisel. It contains the concrete core types UInt, SInt, Bool, FixedPoint, Clock, and Reg, the abstract types Bits, Aggregate, and Data, and the aggregate types Bundle and Vec.

    The Chisel package is a compatibility layer that attempts to provide chisel2 compatibility in chisel3.

    Utility objects and methods are found in the util package.

    The testers package defines the basic interface for chisel testers.

    Definition Classes
    root
  • package chisel3
    Definition Classes
    root
  • package testers

    The testers package provides the basic interface for chisel testers.

    The testers package provides the basic interface for chisel testers.

    Definition Classes
    chisel3
  • BasicTester
  • TesterDriver
c

chisel3.testers

BasicTester

class BasicTester extends Module

Source
BasicTester.scala
Type Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. BasicTester
  2. Module
  3. RawModule
  4. BaseModule
  5. IsInstantiable
  6. HasId
  7. InstanceId
  8. AnyRef
  9. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Instance Constructors

  1. new BasicTester()

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  4. def IO[T <: Data](iodef: T): T
    Attributes
    protected
    Definition Classes
    BaseModule
  5. def _bindIoInPlace(iodef: Data): Unit
    Attributes
    protected
    Definition Classes
    BaseModule
  6. var _closed: Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  7. def _compatAutoWrapPorts(): Unit
    Definition Classes
    BaseModule
  8. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  9. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  10. final val clock: Clock
    Definition Classes
    Module
  11. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  12. val compileOptions: CompileOptions
    Definition Classes
    RawModule
  13. def desiredName: String
    Definition Classes
    BaseModule
  14. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  15. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  16. def finish(): Unit

    The finish method provides a hook that subclasses of BasicTester can use to alter a circuit after their constructor has been called.

    The finish method provides a hook that subclasses of BasicTester can use to alter a circuit after their constructor has been called. For example, a specialized tester subclassing BasicTester could override finish in order to add flow control logic for a decoupled io port of a device under test

  17. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  18. def getCommands: Seq[Command]
    Attributes
    protected
    Definition Classes
    RawModule
  19. def getModulePorts: Seq[Data]
    Attributes
    protected[chisel3]
    Definition Classes
    BaseModule
  20. def hasSeed: Boolean
    Definition Classes
    HasId
  21. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  22. def instanceName: String
    Definition Classes
    BaseModule → HasId → InstanceId
  23. val io: Bundle
  24. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  25. final lazy val name: String
    Definition Classes
    BaseModule
  26. def nameIds(rootClass: Class[_]): HashMap[HasId, String]
    Attributes
    protected
    Definition Classes
    BaseModule
  27. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  28. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  29. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  30. def parentModName: String
    Definition Classes
    HasId → InstanceId
  31. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  32. def pathName: String
    Definition Classes
    HasId → InstanceId
  33. def popCount(n: Long): Int
  34. def portsContains(elem: Data): Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  35. def portsSize: Int
    Attributes
    protected
    Definition Classes
    BaseModule
  36. final val reset: Reset
    Definition Classes
    Module
  37. def stop()(implicit sourceInfo: SourceInfo): Unit

    Ends the test reporting success.

    Ends the test reporting success.

    Does not fire when in reset (defined as the encapsulating Module's reset). If your definition of reset is not the encapsulating Module's reset, you will need to gate this externally.

  38. def suggestName(seed: => String): BasicTester.this.type
    Definition Classes
    HasId
  39. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  40. final def toAbsoluteTarget: IsModule
    Definition Classes
    BaseModule → InstanceId
  41. final def toNamed: ModuleName
    Definition Classes
    BaseModule → InstanceId
  42. def toString(): String
    Definition Classes
    AnyRef → Any
  43. final def toTarget: ModuleTarget
    Definition Classes
    BaseModule → InstanceId
  44. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  45. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  46. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])

Deprecated Value Members

  1. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  2. lazy val getPorts: Seq[Port]
    Definition Classes
    RawModule
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use DataMirror.modulePorts instead. this API will be removed in Chisel 3.6

  3. def override_clock: Option[Clock]
    Attributes
    protected
    Definition Classes
    Module
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  4. def override_clock_=(rhs: Option[Clock]): Unit
    Attributes
    protected
    Definition Classes
    Module
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  5. def override_reset: Option[Bool]
    Attributes
    protected
    Definition Classes
    Module
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  6. def override_reset_=(rhs: Option[Bool]): Unit
    Attributes
    protected
    Definition Classes
    Module
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

Inherited from Module

Inherited from RawModule

Inherited from BaseModule

Inherited from IsInstantiable

Inherited from HasId

Inherited from internal.InstanceId

Inherited from AnyRef

Inherited from Any

Ungrouped