Packages

sealed class Vec[T <: Data] extends Aggregate with VecLike[T]

A vector (array) of Data elements. Provides hardware versions of various collection transformation functions found in software array implementations.

Careful consideration should be given over the use of Vec vs Seq or some other Scala collection. In general Vec only needs to be used when there is a need to express the hardware collection in a Reg or IO Bundle or when access to elements of the array is indexed via a hardware signal.

Example of indexing into a Vec using a hardware address and where the Vec is defined in an IO Bundle

val io = IO(new Bundle {
  val in = Input(Vec(20, UInt(16.W)))
  val addr = Input(UInt(5.W))
  val out = Output(UInt(16.W))
})
io.out := io.in(io.addr)
T

type of elements

Source
Aggregate.scala
Note

  • when multiple conflicting assignments are performed on a Vec element, the last one takes effect (unlike Mem, where the result is undefined)
  • Vecs, unlike classes in Scala's collection library, are propagated intact to FIRRTL as a vector type, which may make debugging easier
Linear Supertypes
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. Vec
  2. VecLike
  3. IndexedSeq
  4. IndexedSeqOps
  5. IndexedSeq
  6. IndexedSeqOps
  7. Seq
  8. SeqOps
  9. Seq
  10. Equals
  11. SeqOps
  12. PartialFunction
  13. Function1
  14. Iterable
  15. Iterable
  16. IterableFactoryDefaults
  17. IterableOps
  18. IterableOnceOps
  19. IterableOnce
  20. Aggregate
  21. Data
  22. SourceInfoDoc
  23. NamedComponent
  24. HasId
  25. InstanceId
  26. AnyRef
  27. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. final def ++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps
    Annotations
    @inline()
  4. final def ++:[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  5. final def +:[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  6. final def :+[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  7. final def :++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  8. def :=(that: Vec[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit
  9. def :=(that: Seq[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Note

    the length of this Vec must match the length of the input Seq

  10. final def :=(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this data to that data mono-directionally and element-wise.

    Connect this data to that data mono-directionally and element-wise.

    This uses the MonoConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  11. def <>(that: Vec[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit
  12. def <>(that: Seq[T])(implicit sourceInfo: SourceInfo, moduleCompileOptions: CompileOptions): Unit

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Strong bulk connect, assigning elements in this Vec from elements in a Seq.

    Note

    the length of this Vec must match the length of the input Seq

  13. final def <>(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this data to that data bi-directionally and element-wise.

    Connect this data to that data bi-directionally and element-wise.

    This uses the BiConnect algorithm.

    that

    the data to connect to

    Definition Classes
    Data
  14. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  15. final def addString(b: StringBuilder): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  16. final def addString(b: StringBuilder, sep: String): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  17. def addString(b: StringBuilder, start: String, sep: String, end: String): b.type
    Definition Classes
    IterableOnceOps
  18. def andThen[C](k: PartialFunction[T, C]): PartialFunction[Int, C]
    Definition Classes
    PartialFunction
  19. def andThen[C](k: (T) => C): PartialFunction[Int, C]
    Definition Classes
    PartialFunction → Function1
  20. def appended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  21. def appendedAll[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  22. def apply(idx: Int): T

    Creates a statically indexed read or write accessor into the array.

    Creates a statically indexed read or write accessor into the array.

    Definition Classes
    Vec → SeqOps → Function1
  23. macro def apply(p: UInt): T

    Creates a dynamically indexed read or write accessor into the array.

    Creates a dynamically indexed read or write accessor into the array.

    Definition Classes
    VecVecLike
  24. def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) => B1): B1
    Definition Classes
    PartialFunction
  25. def applyPreferredMaxLength: Int
    Attributes
    protected
    Definition Classes
    IndexedSeq
  26. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  27. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  28. final macro def asUInt: UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  29. def autoSeed(name: String): Vec.this.type

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  30. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  31. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  32. def canEqual(that: Any): Boolean
    Definition Classes
    IndexedSeq → Seq → Equals
  33. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  34. def className: String
    Attributes
    protected[this]
    Definition Classes
    Iterable
  35. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  36. def cloneType: Vec.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    VecData
  37. final def coll: Vec.this.type
    Attributes
    protected
    Definition Classes
    Iterable → IterableOps
  38. def collect[B](pf: PartialFunction[T, B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  39. def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
    Definition Classes
    IterableOnceOps
  40. def combinations(n: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  41. def compose[R](k: PartialFunction[R, Int]): PartialFunction[R, T]
    Definition Classes
    PartialFunction
  42. def compose[A](g: (A) => Int): (A) => T
    Definition Classes
    Function1
    Annotations
    @unspecialized()
  43. final def concat[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  44. macro def contains(x: T)(implicit ev: <:<[T, UInt]): Bool

    Outputs true if the vector contains at least one element equal to x (using the === operator).

    Outputs true if the vector contains at least one element equal to x (using the === operator).

    Definition Classes
    VecLike
  45. def contains[A1 >: T](elem: A1): Boolean
    Definition Classes
    SeqOps
  46. def containsSlice[B >: T](that: Seq[B]): Boolean
    Definition Classes
    SeqOps
  47. def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Int
    Definition Classes
    IterableOnceOps
  48. def copyToArray[B >: T](xs: Array[B], start: Int): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  49. def copyToArray[B >: T](xs: Array[B]): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  50. def corresponds[B](that: Seq[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    SeqOps
  51. def corresponds[B](that: IterableOnce[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  52. macro def count(p: (T) => Bool): UInt

    Outputs the number of elements for which p is true.

    Outputs the number of elements for which p is true.

    Definition Classes
    VecLike
  53. def count(p: (T) => Boolean): Int
    Definition Classes
    IterableOnceOps
  54. def diff[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  55. def distinct: IndexedSeq[T]
    Definition Classes
    SeqOps
  56. def distinctBy[B](f: (T) => B): IndexedSeq[T]
    Definition Classes
    SeqOps
  57. def do_apply(p: UInt)(implicit compileOptions: CompileOptions): T

    Definition Classes
    VecVecLike
  58. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  59. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    AggregateData
  60. def do_contains(x: T)(implicit sourceInfo: SourceInfo, ev: <:<[T, UInt], compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  61. def do_count(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  62. def do_exists(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  63. def do_forall(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    VecLike
  64. def do_indexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  65. def do_lastIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  66. def do_onlyIndexWhere(p: (T) => Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    VecLike
  67. def do_reduceTree(redOp: (T, T) => T, layerOp: (T) => T = (x: T) => x)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T
  68. def drop(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  69. def dropRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  70. def dropWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  71. def elementWise: ElementWiseExtractor[Int, T]
    Definition Classes
    PartialFunction
  72. def empty: IndexedSeq[T]
    Definition Classes
    IterableFactoryDefaults → IterableOps
  73. def endsWith[B >: T](that: Iterable[B]): Boolean
    Definition Classes
    SeqOps
  74. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  75. def equals(that: Any): Boolean
    Definition Classes
    VecLike → HasId → Seq → Equals → AnyRef → Any
  76. macro def exists(p: (T) => Bool): Bool

    Outputs true if p outputs true for at least one element.

    Outputs true if p outputs true for at least one element.

    Definition Classes
    VecLike
  77. def exists(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  78. def filter(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  79. def filterNot(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  80. def find(p: (T) => Boolean): Option[T]
    Definition Classes
    IterableOnceOps
  81. def findLast(p: (T) => Boolean): Option[T]
    Definition Classes
    SeqOps
  82. def flatMap[B](f: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  83. def flatten[B](implicit asIterable: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  84. def fold[A1 >: T](z: A1)(op: (A1, A1) => A1): A1
    Definition Classes
    IterableOnceOps
  85. def foldLeft[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  86. def foldRight[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  87. macro def forall(p: (T) => Bool): Bool

    Outputs true if p outputs true for every element.

    Outputs true if p outputs true for every element.

    Definition Classes
    VecLike
  88. def forall(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  89. def foreach[U](f: (T) => U): Unit
    Definition Classes
    IterableOnceOps
  90. def fromSpecific(coll: IterableOnce[T]): IndexedSeq[T]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  91. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  92. def getElements: Seq[Data]

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Definition Classes
    VecAggregate
  93. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  94. def groupBy[K](f: (T) => K): Map[K, IndexedSeq[T]]
    Definition Classes
    IterableOps
  95. def groupMap[K, B](key: (T) => K)(f: (T) => B): Map[K, IndexedSeq[B]]
    Definition Classes
    IterableOps
  96. def groupMapReduce[K, B](key: (T) => K)(f: (T) => B)(reduce: (B, B) => B): Map[K, B]
    Definition Classes
    IterableOps
  97. def grouped(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  98. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  99. def hashCode(): Int
    Definition Classes
    VecLike → HasId → Seq → AnyRef → Any
  100. def head: T
    Definition Classes
    IndexedSeqOps → IterableOps
  101. def headOption: Option[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  102. def indexOf[B >: T](elem: B): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  103. def indexOf[B >: T](elem: B, from: Int): Int
    Definition Classes
    SeqOps
  104. def indexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  105. def indexOfSlice[B >: T](that: Seq[B], from: Int): Int
    Definition Classes
    SeqOps
  106. macro def indexWhere(p: (T) => Bool): UInt

    Outputs the index of the first element for which p outputs true.

    Outputs the index of the first element for which p outputs true.

    Definition Classes
    VecLike
  107. def indexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  108. def indexWhere(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  109. def indices: Range
    Definition Classes
    SeqOps
  110. def init: IndexedSeq[T]
    Definition Classes
    IterableOps
  111. def inits: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  112. def instanceName: String
    Definition Classes
    HasId → InstanceId
  113. def intersect[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  114. def isDefinedAt(idx: Int): Boolean
    Definition Classes
    SeqOps
  115. def isEmpty: Boolean
    Definition Classes
    SeqOps → IterableOnceOps
  116. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  117. def isLit: Boolean
    Definition Classes
    Data
  118. def isTraversableAgain: Boolean
    Definition Classes
    IterableOps → IterableOnceOps
  119. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  120. def iterableFactory: SeqFactory[IndexedSeq]
    Definition Classes
    IndexedSeq → IndexedSeq → Seq → Seq → Iterable → Iterable → IterableOps
  121. def iterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → IterableOnce
  122. def knownSize: Int
    Definition Classes
    IndexedSeqOps → IterableOnce
  123. def last: T
    Definition Classes
    IndexedSeqOps → IterableOps
  124. def lastIndexOf[B >: T](elem: B, end: Int): Int
    Definition Classes
    SeqOps
  125. def lastIndexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  126. def lastIndexOfSlice[B >: T](that: Seq[B], end: Int): Int
    Definition Classes
    SeqOps
  127. macro def lastIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the last element for which p outputs true.

    Outputs the index of the last element for which p outputs true.

    Definition Classes
    VecLike
  128. def lastIndexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  129. def lastIndexWhere(p: (T) => Boolean, end: Int): Int
    Definition Classes
    SeqOps
  130. def lastOption: Option[T]
    Definition Classes
    IterableOps
  131. def lazyZip[B](that: Iterable[B]): LazyZip2[T, B, Vec.this.type]
    Definition Classes
    Iterable
  132. val length: Int
    Definition Classes
    Vec → SeqOps
  133. final def lengthCompare(that: Iterable[_]): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  134. final def lengthCompare(len: Int): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  135. final def lengthIs: SizeCompareOps
    Definition Classes
    SeqOps
    Annotations
    @inline()
  136. def lift: (Int) => Option[T]
    Definition Classes
    PartialFunction
  137. def litOption: Option[BigInt]

    Return an Aggregate's literal value if it is a literal, None otherwise.

    Return an Aggregate's literal value if it is a literal, None otherwise. If any element of the aggregate is not a literal with a defined width, the result isn't a literal.

    returns

    an Aggregate's literal value if it is a literal.

    Definition Classes
    AggregateData
  138. def litValue: BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  139. def map[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  140. def max[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  141. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  142. def maxByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  143. def maxOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  144. def min[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  145. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  146. def minByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  147. def minOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  148. final def mkString: String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  149. final def mkString(sep: String): String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  150. final def mkString(start: String, sep: String, end: String): String
    Definition Classes
    IterableOnceOps
  151. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  152. def newSpecificBuilder: Builder[T, IndexedSeq[T]]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  153. def nonEmpty: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  154. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  155. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  156. def occCounts[B](sq: Seq[B]): Map[B, Int]
    Attributes
    protected[collection]
    Definition Classes
    SeqOps
  157. macro def onlyIndexWhere(p: (T) => Bool): UInt

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    Outputs the index of the element for which p outputs true, assuming that the there is exactly one such element.

    The implementation may be more efficient than a priority mux, but incorrect results are possible if there is not exactly one true element.

    Definition Classes
    VecLike
    Note

    the assumption that there is only one element for which p outputs true is NOT checked (useful in cases where the condition doesn't always hold, but the results are not used in those cases)

  158. def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
    Definition Classes
    PartialFunction
  159. def padTo[B >: T](len: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  160. def parentModName: String
    Definition Classes
    HasId → InstanceId
  161. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  162. def partition(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps
  163. def partitionMap[A1, A2](f: (T) => Either[A1, A2]): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  164. def patch[B >: T](from: Int, other: IterableOnce[B], replaced: Int): IndexedSeq[B]
    Definition Classes
    SeqOps
  165. def pathName: String
    Definition Classes
    HasId → InstanceId
  166. def permutations: Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  167. def prepended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → SeqOps
  168. def prependedAll[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  169. def product[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  170. def reduce[B >: T](op: (B, B) => B): B
    Definition Classes
    IterableOnceOps
  171. def reduceLeft[B >: T](op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  172. def reduceLeftOption[B >: T](op: (B, T) => B): Option[B]
    Definition Classes
    IterableOnceOps
  173. def reduceOption[B >: T](op: (B, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  174. def reduceRight[B >: T](op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
  175. def reduceRightOption[B >: T](op: (T, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  176. macro def reduceTree(redOp: (T, T) => T, layerOp: (T) => T): T

    A reduce operation in a tree like structure instead of sequentially

    A reduce operation in a tree like structure instead of sequentially

    Example:
    1. A pipelined adder tree

      val sumOut = inputNums.reduceTree(
        (a: T, b: T) => RegNext(a + b),
        (a: T) => RegNext(a)
      )
  177. macro def reduceTree(redOp: (T, T) => T): T

    A reduce operation in a tree like structure instead of sequentially

    A reduce operation in a tree like structure instead of sequentially

    Example:
    1. An adder tree

      val sumOut = inputNums.reduceTree((a: T, b: T) => (a + b))
  178. def reverse: IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  179. def reverseIterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  180. def reversed: Iterable[T]
    Attributes
    protected
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  181. def runWith[U](action: (T) => U): (Int) => Boolean
    Definition Classes
    PartialFunction
  182. def sameElements[B >: T](o: IterableOnce[B]): Boolean
    Definition Classes
    IndexedSeq → SeqOps
  183. def scan[B >: T](z: B)(op: (B, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  184. def scanLeft[B](z: B)(op: (B, T) => B): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  185. def scanRight[B](z: B)(op: (T, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  186. def search[B >: T](elem: B, from: Int, to: Int)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  187. def search[B >: T](elem: B)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  188. def segmentLength(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  189. final def segmentLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
  190. final def size: Int
    Definition Classes
    SeqOps → IterableOnceOps
  191. final def sizeCompare(that: Iterable[_]): Int
    Definition Classes
    SeqOps → IterableOps
  192. final def sizeCompare(otherSize: Int): Int
    Definition Classes
    SeqOps → IterableOps
  193. final def sizeIs: SizeCompareOps
    Definition Classes
    IterableOps
    Annotations
    @inline()
  194. def slice(from: Int, until: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IndexedSeqOps → IterableOps → IterableOnceOps
  195. def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  196. def sliding(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  197. def sortBy[B](f: (T) => B)(implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  198. def sortWith(lt: (T, T) => Boolean): IndexedSeq[T]
    Definition Classes
    SeqOps
  199. def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  200. def span(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  201. def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  202. def startsWith[B >: T](that: IterableOnce[B], offset: Int): Boolean
    Definition Classes
    SeqOps
  203. def stepper[S <: Stepper[_]](implicit shape: StepperShape[T, S]): S with EfficientSplit
    Definition Classes
    IndexedSeqOps → IterableOnce
  204. def stringPrefix: String
    Attributes
    protected[this]
    Definition Classes
    IndexedSeq → Seq → Iterable
  205. def suggestName(seed: => String): Vec.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  206. def sum[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  207. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  208. def tail: IndexedSeq[T]
    Definition Classes
    IterableOps
  209. def tails: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  210. def take(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  211. def takeRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  212. def takeWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  213. def tapEach[U](f: (T) => U): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  214. def to[C1](factory: Factory[T, C1]): C1
    Definition Classes
    IterableOnceOps
  215. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  216. def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
    Definition Classes
    IterableOnceOps
  217. final def toBuffer[B >: T]: Buffer[B]
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  218. final def toIndexedSeq: IndexedSeq[T]
    Definition Classes
    IndexedSeq → IterableOnceOps
  219. def toList: List[T]
    Definition Classes
    IterableOnceOps
  220. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Definition Classes
    IterableOnceOps
  221. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  222. def toPrintable: Printable

    Default "pretty-print" implementation Analogous to printing a Seq Results in "Vec(elt0, elt1, ...)"

    Default "pretty-print" implementation Analogous to printing a Seq Results in "Vec(elt0, elt1, ...)"

    Definition Classes
    VecData
  223. final def toSeq: Vec.this.type
    Definition Classes
    Seq → IterableOnceOps
  224. def toSet[B >: T]: Set[B]
    Definition Classes
    IterableOnceOps
  225. def toString(): String
    Definition Classes
    Vec → Seq → Function1 → Iterable → AnyRef → Any
  226. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  227. def toVector: Vector[T]
    Definition Classes
    IterableOnceOps
  228. def transpose[B](implicit asIterable: (T) => Iterable[B]): IndexedSeq[IndexedSeq[B]]
    Definition Classes
    IterableOps
  229. def unapply(a: Int): Option[T]
    Definition Classes
    PartialFunction
  230. def unzip[A1, A2](implicit asPair: (T) => (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  231. def unzip3[A1, A2, A3](implicit asTriple: (T) => (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
    Definition Classes
    IterableOps
  232. def updated[B >: T](index: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  233. def view: IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → SeqOps → IterableOps
  234. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  235. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  236. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  237. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  238. def withFilter(p: (T) => Boolean): WithFilter[T, [_]IndexedSeq[_]]
    Definition Classes
    IterableOps
  239. def zip[B](that: IterableOnce[B]): IndexedSeq[(T, B)]
    Definition Classes
    IterableOps
  240. def zipAll[A1 >: T, B](that: Iterable[B], thisElem: A1, thatElem: B): IndexedSeq[(A1, B)]
    Definition Classes
    IterableOps
  241. def zipWithIndex: IndexedSeq[(T, Int)]
    Definition Classes
    IterableOps → IterableOnceOps

Deprecated Value Members

  1. final def /:[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldLeft instead of /:

  2. final def :\[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldRight instead of :\

  3. def aggregate[B](z: => B)(seqop: (B, T) => B, combop: (B, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) aggregate is not relevant for sequential collections. Use foldLeft(z)(seqop) instead.

  4. final macro def asUInt(dummy: Int*): UInt
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  5. def bindingToString: String
    Attributes
    protected
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5.0) This was never intended to be visible to user-defined types

  6. def companion: IterableFactory[[_]IndexedSeq[_]]
    Definition Classes
    IterableOps
    Annotations
    @deprecated @deprecatedOverriding() @inline()
    Deprecated

    (Since version 2.13.0) Use iterableFactory instead

  7. final def copyToBuffer[B >: T](dest: Buffer[B]): Unit
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use dest ++= coll instead

  8. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  9. def hasDefiniteSize: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Check .knownSize instead of .hasDefiniteSize for more actionable information (see scaladoc for details)

  10. def isLit(dummy: Int*): Boolean
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  11. def litOption(dummy: Int*): Option[BigInt]
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  12. def litValue(dummy: Int*): BigInt
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  13. final def prefixLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use segmentLength instead of prefixLength

  14. final def repr: IndexedSeq[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use coll instead of repr in a collection implementation, use the collection value itself from the outside

  15. def reverseMap[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .reverseIterator.map(f).to(...) instead of .reverseMap(f)

  16. def seq: Vec.this.type
    Definition Classes
    Iterable
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Iterable.seq always returns the iterable itself

  17. final def toIterable: Vec.this.type
    Definition Classes
    Iterable → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.7) toIterable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  18. final def toIterator: Iterator[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead of .toIterator

  19. final def toStream: Stream[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .to(LazyList) instead of .toStream

  20. final def toTraversable: Traversable[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) toTraversable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  21. final def union[B >: T](that: Seq[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use concat instead

  22. def view(from: Int, until: Int): IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .view.slice(from, until) instead of .view(from, until)

Inherited from VecLike[T]

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, IndexedSeq, IndexedSeq[T]]

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from Seq[T]

Inherited from Equals

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from PartialFunction[Int, T]

Inherited from (Int) => T

Inherited from Iterable[T]

Inherited from Iterable[T]

Inherited from IterableFactoryDefaults[T, [x]IndexedSeq[x]]

Inherited from IterableOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnceOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnce[T]

Inherited from Aggregate

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from internal.InstanceId

Inherited from AnyRef

Inherited from Any

Connect

Utilities for connecting hardware components

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.