Packages

package stage

Source
package.scala
Linear Supertypes
Content Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. stage
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Package Members

  1. package phases

Type Members

  1. case class ChiselCircuitAnnotation(circuit: Circuit) extends NoTargetAnnotation with ChiselOption with Unserializable with Product with Serializable

    Stores a Chisel Circuit

    Stores a Chisel Circuit

    circuit

    a Chisel Circuit

  2. trait ChiselCli extends AnyRef
    Annotations
    @nowarn()
  3. case class ChiselGeneratorAnnotation(gen: () => RawModule) extends NoTargetAnnotation with Unserializable with Product with Serializable

    An firrtl.annotations.Annotation storing a function that returns a Chisel module

    An firrtl.annotations.Annotation storing a function that returns a Chisel module

    gen

    a generator function

  4. sealed trait ChiselOption extends AnyRef

    Mixin that indicates that this is an firrtl.annotations.Annotation used to generate a ChiselOptions view.

  5. class ChiselOptions extends AnyRef
  6. case class ChiselOutputFileAnnotation(file: String) extends NoTargetAnnotation with ChiselOption with Unserializable with Product with Serializable
  7. case class CircuitSerializationAnnotation(circuit: Circuit, filename: String, format: Format) extends NoTargetAnnotation with BufferedCustomFileEmission with Product with Serializable

    Wraps a Circuit for serialization via CustomFileEmission

    Wraps a Circuit for serialization via CustomFileEmission

    circuit

    a Chisel Circuit

    filename

    name of destination file (excludes file extension)

    format

    serialization file format (sets file extension)

  8. case class DesignAnnotation[DUT <: RawModule](design: DUT) extends NoTargetAnnotation with Unserializable with Product with Serializable

    Contains the top-level elaborated Chisel design.

    Contains the top-level elaborated Chisel design.

    By default is created during Chisel elaboration and passed to the FIRRTL compiler.

    DUT

    Type of the top-level Chisel design

    design

    top-level Chisel design

  9. case class SourceRootAnnotation(directory: File) extends NoTargetAnnotation with Unserializable with ChiselOption with Product with Serializable

    A root directory for source files, used for enhanced error reporting

    A root directory for source files, used for enhanced error reporting

    More than one may be provided. If a source file is found in more than one source root, the first match will be used in error reporting.

Deprecated Type Members

  1. class ChiselStage extends Stage
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md. Please switch to circt.stage.ChiselStage.

Value Members

  1. final val pleaseSwitchToCIRCT: String("this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md. Please switch to circt.stage.ChiselStage.")
  2. object ChiselGeneratorAnnotation extends HasShellOptions with Serializable
  3. implicit object ChiselOptionsView extends OptionsView[ChiselOptions]
    Annotations
    @nowarn()
  4. object ChiselOutputFileAnnotation extends HasShellOptions with Serializable
  5. object CircuitSerializationAnnotation extends Serializable
  6. case object PrintFullStackTraceAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Unserializable with Product with Serializable

    On an exception, this will cause the full stack trace to be printed as opposed to a pruned stack trace.

  7. object SourceRootAnnotation extends HasShellOptions with Serializable
  8. case object ThrowOnFirstErrorAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Unserializable with Product with Serializable

    On recoverable errors, this will cause Chisel to throw an exception instead of continuing.

  9. case object WarningsAsErrorsAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Unserializable with Product with Serializable

    When enabled, warnings will be treated as errors.

Deprecated Value Members

  1. object ChiselMain extends StageMain
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md. Please switch to circt.stage.ChiselStage.

  2. object ChiselStage

    Helper methods for working with ChiselStage

    Helper methods for working with ChiselStage

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md. Please switch to circt.stage.ChiselStage.

  3. case object NoRunFirrtlCompilerAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Unserializable with Product with Serializable

    Disable the execution of the FIRRTL compiler by Chisel

    Disable the execution of the FIRRTL compiler by Chisel

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md. Use "--target chirrtl" with circt.stage.ChiselStage.

  4. case object WarnReflectiveNamingAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Unserializable with Product with Serializable

    Warn when reflective naming changes names of signals

    Warn when reflective naming changes names of signals

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) Support for reflective naming has been removed, this object no longer does anything

Inherited from AnyRef

Inherited from Any

Ungrouped