Packages

final class MixedVec[T <: Data] extends Record with IndexedSeq[T]

A hardware array of elements that can hold values of different types/widths, unlike Vec which can only hold elements of the same type/width.

Source
MixedVec.scala
Example:
  1. val v = Wire(MixedVec(Seq(UInt(8.W), UInt(16.W), UInt(32.W))))
    v(0) := 100.U(8.W)
    v(1) := 10000.U(16.W)
    v(2) := 101.U(32.W)
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. MixedVec
  2. IndexedSeq
  3. IndexedSeqOps
  4. Seq
  5. Equals
  6. SeqOps
  7. PartialFunction
  8. Function1
  9. Iterable
  10. IterableFactoryDefaults
  11. IterableOps
  12. IterableOnceOps
  13. IterableOnce
  14. Record
  15. Aggregate
  16. Data
  17. SourceInfoDoc
  18. NamedComponent
  19. HasId
  20. InstanceId
  21. AnyRef
  22. Any
Implicitly
  1. by UnliftOps
  2. by iterableOnceExtensionMethods
  3. by DataEquality
  4. by toConnectableDefault
  5. by ConnectableDefault
  6. by any2stringadd
  7. by StringFormat
  8. by Ensuring
  9. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Instance Constructors

  1. new MixedVec(eltsIn: Seq[T])

    eltsIn

    Element types. Must be Chisel types.

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toany2stringadd[MixedVec[T]] performed by method any2stringadd in scala.Predef.
    Definition Classes
    any2stringadd
  4. final def ++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps
    Annotations
    @inline()
  5. final def ++:[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  6. final def +:[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  7. def ->[B](y: B): (MixedVec[T], B)
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toArrowAssoc[MixedVec[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  8. final def :#=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  9. final def :#=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  10. final def :#=[S <: Data](lProducer: => S)(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  11. final def :+[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  12. final def :++[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @inline()
  13. final def :<=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  14. final def :<=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  15. final def :<=[S <: Data](lProducer: => S)(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  16. final def :<>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  17. final def :<>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  18. final def :<>=[S <: Data](lProducer: => S)(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  19. def :=(that: Seq[T]): Unit

    Strong bulk connect, assigning elements in this MixedVec from elements in a Seq.

    Strong bulk connect, assigning elements in this MixedVec from elements in a Seq.

    Note

    the lengths of this and that must match

  20. final def :=(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "strong connect" operator.

    The "strong connect" operator.

    For chisel3._, this operator is mono-directioned; all sub-elements of this will be driven by sub-elements of that.

    • Equivalent to this :#= that

    For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=

    • Equivalent to this :<>= that
    that

    the Data to connect from

    Definition Classes
    Data
  21. final def :>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  22. final def :>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  23. final def :>=[S <: Data](lProducer: => S)(implicit evidence: =:=[MixedVec[T], S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectableDefault[MixedVec[T]] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  24. final def <>(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    For chisel3._, uses the chisel3.internal.BiConnect algorithm; sub-elements of that may end up driving sub-elements of this

    • Complicated semantics, hard to write quickly, will likely be deprecated in the future

    For Chisel._, emits the FIRRTL.<- operator

    • Equivalent to this :<>= that without the restrictions that bundle field names and vector sizes must match
    that

    the Data to connect from

    Definition Classes
    Data
  25. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  26. def ===(rhs: MixedVec[T]): Bool

    Dynamic recursive equality operator for generic Data

    Dynamic recursive equality operator for generic Data

    rhs

    a hardware Data to compare lhs to

    returns

    a hardware Bool asserted if lhs is equal to rhs

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toDataEquality[MixedVec[T]] performed by method DataEquality in chisel3.Data.
    Definition Classes
    DataEquality
    Exceptions thrown

    ChiselException when lhs and rhs are different types during elaboration time

  27. def _cloneTypeImpl: Record

    Implementation of cloneType that is [optionally for Record] overridden by the compiler plugin

    Implementation of cloneType that is [optionally for Record] overridden by the compiler plugin

    Attributes
    protected
    Definition Classes
    Record
    Note

    This should _never_ be overridden or called in user-code

  28. final def addString(b: StringBuilder): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  29. final def addString(b: StringBuilder, sep: String): b.type
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  30. def addString(b: StringBuilder, start: String, sep: String, end: String): b.type
    Definition Classes
    IterableOnceOps
  31. def andThen[C](k: PartialFunction[T, C]): PartialFunction[Int, C]
    Definition Classes
    PartialFunction
  32. def andThen[C](k: (T) => C): PartialFunction[Int, C]
    Definition Classes
    PartialFunction → Function1
  33. def appended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  34. def appendedAll[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  35. def apply(index: Int): T

    Statically (elaboration-time) retrieve the element at the given index.

    Statically (elaboration-time) retrieve the element at the given index.

    index

    Index with which to retrieve.

    returns

    Retrieved index.

    Definition Classes
    MixedVec → SeqOps → Function1
  36. def applyOrElse[A1 <: Int, B1 >: T](x: A1, default: (A1) => B1): B1
    Definition Classes
    PartialFunction
  37. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  38. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  39. final macro def asUInt: UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  40. def autoSeed(name: String): MixedVec.this.type

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  41. val base: MixedVec[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  42. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  43. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  44. def canEqual(that: Any): Boolean
    Definition Classes
    Seq → Equals
  45. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  46. def className: String

    Name for Pretty Printing

    Name for Pretty Printing

    Definition Classes
    MixedVec → Iterable → Record
  47. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  48. def cloneType: MixedVec.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    RecordData
  49. final def coll: MixedVec.this.type
    Attributes
    protected
    Definition Classes
    Iterable → IterableOps
  50. def collect[B](pf: PartialFunction[T, B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  51. def collectFirst[B](pf: PartialFunction[T, B]): Option[B]
    Definition Classes
    IterableOnceOps
  52. def combinations(n: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  53. def compose[R](k: PartialFunction[R, Int]): PartialFunction[R, T]
    Definition Classes
    PartialFunction
  54. def compose[A](g: (A) => Int): (A) => T
    Definition Classes
    Function1
    Annotations
    @unspecialized()
  55. final def concat[B >: T](suffix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps → IterableOps
    Annotations
    @inline()
  56. def contains[A1 >: T](elem: A1): Boolean
    Definition Classes
    SeqOps
  57. def containsSlice[B >: T](that: Seq[B]): Boolean
    Definition Classes
    SeqOps
  58. def copyToArray[B >: T](xs: Array[B], start: Int, len: Int): Int
    Definition Classes
    IterableOnceOps
  59. def copyToArray[B >: T](xs: Array[B], start: Int): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  60. def copyToArray[B >: T](xs: Array[B]): Int
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  61. def corresponds[B](that: Seq[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    SeqOps
  62. def corresponds[B](that: IterableOnce[B])(p: (T, B) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  63. def count(p: (T) => Boolean): Int
    Definition Classes
    IterableOnceOps
  64. def diff[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  65. def distinct: IndexedSeq[T]
    Definition Classes
    SeqOps
  66. def distinctBy[B](f: (T) => B): IndexedSeq[T]
    Definition Classes
    SeqOps
  67. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  68. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    AggregateData
  69. def drop(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  70. def dropRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  71. def dropWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  72. def elementWise: ElementWiseExtractor[Int, T]
    Definition Classes
    PartialFunction
  73. val elements: ListMap[String, T]
    Definition Classes
    MixedVecRecord
  74. def empty: IndexedSeq[T]
    Definition Classes
    IterableFactoryDefaults → IterableOps
  75. def endsWith[B >: T](that: Iterable[B]): Boolean
    Definition Classes
    SeqOps
  76. def ensuring(cond: (MixedVec[T]) => Boolean, msg: => Any): MixedVec[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toEnsuring[MixedVec[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  77. def ensuring(cond: (MixedVec[T]) => Boolean): MixedVec[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toEnsuring[MixedVec[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  78. def ensuring(cond: Boolean, msg: => Any): MixedVec[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toEnsuring[MixedVec[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  79. def ensuring(cond: Boolean): MixedVec[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toEnsuring[MixedVec[T]] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  80. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  81. def equals(that: Any): Boolean
    Definition Classes
    MixedVec → Seq → Equals → HasId → AnyRef → Any
  82. def exists(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  83. def filter(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  84. def filterNot(pred: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  85. def find(p: (T) => Boolean): Option[T]
    Definition Classes
    IterableOnceOps
  86. def findLast(p: (T) => Boolean): Option[T]
    Definition Classes
    SeqOps
  87. def flatMap[B](f: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  88. def flatten[B](implicit asIterable: (T) => IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  89. def fold[A1 >: T](z: A1)(op: (A1, A1) => A1): A1
    Definition Classes
    IterableOnceOps
  90. def foldLeft[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  91. def foldRight[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  92. def forall(p: (T) => Boolean): Boolean
    Definition Classes
    IterableOnceOps
  93. def foreach[U](f: (T) => U): Unit
    Definition Classes
    IterableOnceOps
  94. def fromSpecific(coll: IterableOnce[T]): IndexedSeq[T]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  95. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  96. def getElements: Seq[Data]

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Returns a Seq of the immediate contents of this Aggregate, in order.

    Definition Classes
    RecordAggregate
  97. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  98. def groupBy[K](f: (T) => K): Map[K, IndexedSeq[T]]
    Definition Classes
    IterableOps
  99. def groupMap[K, B](key: (T) => K)(f: (T) => B): Map[K, IndexedSeq[B]]
    Definition Classes
    IterableOps
  100. def groupMapReduce[K, B](key: (T) => K)(f: (T) => B)(reduce: (B, B) => B): Map[K, B]
    Definition Classes
    IterableOps
  101. def grouped(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  102. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  103. def hashCode(): Int
    Definition Classes
    MixedVec → Seq → HasId → AnyRef → Any
  104. def head: T
    Definition Classes
    IndexedSeqOps → IterableOps
  105. def headOption: Option[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  106. def indexOf[B >: T](elem: B): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  107. def indexOf[B >: T](elem: B, from: Int): Int
    Definition Classes
    SeqOps
  108. def indexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  109. def indexOfSlice[B >: T](that: Seq[B], from: Int): Int
    Definition Classes
    SeqOps
  110. def indexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  111. def indexWhere(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  112. def indices: Range
    Definition Classes
    SeqOps
  113. def init: IndexedSeq[T]
    Definition Classes
    IterableOps
  114. def inits: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  115. def instanceName: String
    Definition Classes
    HasId → InstanceId
  116. def intersect[B >: T](that: Seq[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  117. def isDefinedAt(idx: Int): Boolean
    Definition Classes
    SeqOps
  118. def isEmpty: Boolean
    Definition Classes
    SeqOps → IterableOnceOps
  119. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  120. def isLit: Boolean
    Definition Classes
    Data
  121. def isTraversableAgain: Boolean
    Definition Classes
    IterableOps → IterableOnceOps
  122. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  123. def iterableFactory: SeqFactory[IndexedSeq]
    Definition Classes
    IndexedSeq → Seq → Iterable → IterableOps
  124. def iterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → IterableOnce
  125. def knownSize: Int
    Definition Classes
    IndexedSeqOps → IterableOnce
  126. def last: T
    Definition Classes
    IndexedSeqOps → IterableOps
  127. def lastIndexOf[B >: T](elem: B, end: Int): Int
    Definition Classes
    SeqOps
  128. def lastIndexOfSlice[B >: T](that: Seq[B]): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  129. def lastIndexOfSlice[B >: T](that: Seq[B], end: Int): Int
    Definition Classes
    SeqOps
  130. def lastIndexWhere(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecatedOverriding()
  131. def lastIndexWhere(p: (T) => Boolean, end: Int): Int
    Definition Classes
    SeqOps
  132. def lastOption: Option[T]
    Definition Classes
    IterableOps
  133. def lazyZip[B](that: Iterable[B]): LazyZip2[T, B, MixedVec.this.type]
    Definition Classes
    Iterable
  134. def length: Int

    Get the length of this MixedVec.

    Get the length of this MixedVec.

    returns

    Number of elements in this MixedVec.

    Definition Classes
    MixedVec → SeqOps
  135. final def lengthCompare(that: Iterable[_]): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  136. final def lengthCompare(len: Int): Int
    Definition Classes
    IndexedSeqOps → SeqOps
  137. final def lengthIs: SizeCompareOps
    Definition Classes
    SeqOps
    Annotations
    @inline()
  138. def lift: (Int) => Option[T]
    Definition Classes
    PartialFunction
  139. def litOption: Option[BigInt]

    Return an Aggregate's literal value if it is a literal, None otherwise.

    Return an Aggregate's literal value if it is a literal, None otherwise. If any element of the aggregate is not a literal with a defined width, the result isn't a literal.

    returns

    an Aggregate's literal value if it is a literal.

    Definition Classes
    AggregateData
  140. def litValue: BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  141. def map[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  142. def max[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  143. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  144. def maxByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  145. def maxOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  146. def min[B >: T](implicit ord: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  147. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Definition Classes
    IterableOnceOps
  148. def minByOption[B](f: (T) => B)(implicit cmp: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  149. def minOption[B >: T](implicit ord: Ordering[B]): Option[T]
    Definition Classes
    IterableOnceOps
  150. final def mkString: String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  151. final def mkString(sep: String): String
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  152. final def mkString(start: String, sep: String, end: String): String
    Definition Classes
    IterableOnceOps
  153. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  154. def newSpecificBuilder: Builder[T, IndexedSeq[T]]
    Attributes
    protected
    Definition Classes
    IterableFactoryDefaults → IterableOps
  155. def nonEmpty: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecatedOverriding()
  156. def notSpecial: Boolean

    True if no members are waived or squeezed

    True if no members are waived or squeezed

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  157. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  158. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  159. def occCounts[B](sq: Seq[B]): Map[B, Int]
    Attributes
    protected[collection]
    Definition Classes
    SeqOps
  160. def orElse[A1 <: Int, B1 >: T](that: PartialFunction[A1, B1]): PartialFunction[A1, B1]
    Definition Classes
    PartialFunction
  161. def padTo[B >: T](len: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  162. def parentModName: String
    Definition Classes
    HasId → InstanceId
  163. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  164. def partition(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps
  165. def partitionMap[A1, A2](f: (T) => Either[A1, A2]): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  166. def patch[B >: T](from: Int, other: IterableOnce[B], replaced: Int): IndexedSeq[B]
    Definition Classes
    SeqOps
  167. def pathName: String
    Definition Classes
    HasId → InstanceId
  168. def permutations: Iterator[IndexedSeq[T]]
    Definition Classes
    SeqOps
  169. def prepended[B >: T](elem: B): IndexedSeq[B]
    Definition Classes
    IndexedSeqOps → SeqOps
  170. def prependedAll[B >: T](prefix: IterableOnce[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
  171. def product[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  172. def reduce[B >: T](op: (B, B) => B): B
    Definition Classes
    IterableOnceOps
  173. def reduceLeft[B >: T](op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
  174. def reduceLeftOption[B >: T](op: (B, T) => B): Option[B]
    Definition Classes
    IterableOnceOps
  175. def reduceOption[B >: T](op: (B, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  176. def reduceRight[B >: T](op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
  177. def reduceRightOption[B >: T](op: (T, B) => B): Option[B]
    Definition Classes
    IterableOnceOps
  178. def reverse: IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  179. def reverseIterator: Iterator[T]
    Definition Classes
    IndexedSeqOps → SeqOps
  180. def reversed: Iterable[T]
    Attributes
    protected
    Definition Classes
    IndexedSeqOps → IterableOnceOps
  181. def runWith[U](action: (T) => U): (Int) => Boolean
    Definition Classes
    PartialFunction
  182. def sameElements[B >: T](that: IterableOnce[B]): Boolean
    Definition Classes
    SeqOps
  183. def scan[B >: T](z: B)(op: (B, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  184. def scanLeft[B](z: B)(op: (B, T) => B): IndexedSeq[B]
    Definition Classes
    IterableOps → IterableOnceOps
  185. def scanRight[B](z: B)(op: (T, B) => B): IndexedSeq[B]
    Definition Classes
    IterableOps
  186. def search[B >: T](elem: B, from: Int, to: Int)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  187. def search[B >: T](elem: B)(implicit ord: Ordering[B]): SearchResult
    Definition Classes
    IndexedSeqOps → SeqOps
  188. def segmentLength(p: (T) => Boolean, from: Int): Int
    Definition Classes
    SeqOps
  189. final def segmentLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
  190. final def size: Int
    Definition Classes
    SeqOps → IterableOnceOps
  191. final def sizeCompare(that: Iterable[_]): Int
    Definition Classes
    SeqOps → IterableOps
  192. final def sizeCompare(otherSize: Int): Int
    Definition Classes
    SeqOps → IterableOps
  193. final def sizeIs: SizeCompareOps
    Definition Classes
    IterableOps
    Annotations
    @inline()
  194. def slice(from: Int, until: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  195. def sliding(size: Int, step: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  196. def sliding(size: Int): Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  197. def sortBy[B](f: (T) => B)(implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  198. def sortWith(lt: (T, T) => Boolean): IndexedSeq[T]
    Definition Classes
    SeqOps
  199. def sorted[B >: T](implicit ord: Ordering[B]): IndexedSeq[T]
    Definition Classes
    SeqOps
  200. def span(p: (T) => Boolean): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  201. def splitAt(n: Int): (IndexedSeq[T], IndexedSeq[T])
    Definition Classes
    IterableOps → IterableOnceOps
  202. def squeeze(members: (MixedVec[T]) => Data*): connectable.Connectable[MixedVec[T]]

    Select members of base to squeeze

    Select members of base to squeeze

    members

    functions given the base return a member to squeeze

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  203. def squeeze: connectable.Connectable[MixedVec[T]]

    Adds base to squeezes

    Adds base to squeezes

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  204. def squeezeAll: connectable.Connectable[MixedVec[T]]

    Squeeze all members of base

    Squeeze all members of base

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  205. def squeezeEach[S <: Data](pf: PartialFunction[Data, Seq[Data]]): connectable.Connectable[MixedVec[T]]

    Programmatically select members of base to squeeze

    Programmatically select members of base to squeeze

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  206. def startsWith[B >: T](that: IterableOnce[B], offset: Int): Boolean
    Definition Classes
    SeqOps
  207. def stepper[S <: Stepper[_]](implicit shape: StepperShape[T, S]): S with EfficientSplit
    Definition Classes
    IndexedSeqOps → IterableOnce
  208. def stringPrefix: String
    Attributes
    protected[this]
    Definition Classes
    IndexedSeq → Seq → Iterable
  209. def suggestName(seed: => String): MixedVec.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  210. def sum[B >: T](implicit num: Numeric[B]): B
    Definition Classes
    IterableOnceOps
  211. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  212. def tail: IndexedSeq[T]
    Definition Classes
    IterableOps
  213. def tails: Iterator[IndexedSeq[T]]
    Definition Classes
    IterableOps
  214. def take(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps → IterableOnceOps
  215. def takeRight(n: Int): IndexedSeq[T]
    Definition Classes
    IndexedSeqOps → IterableOps
  216. def takeWhile(p: (T) => Boolean): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  217. def tapEach[U](f: (T) => U): IndexedSeq[T]
    Definition Classes
    IterableOps → IterableOnceOps
  218. def to[C1](factory: Factory[T, C1]): C1
    Definition Classes
    IterableOnceOps
  219. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  220. def toArray[B >: T](implicit arg0: ClassTag[B]): Array[B]
    Definition Classes
    IterableOnceOps
  221. final def toBuffer[B >: T]: Buffer[B]
    Definition Classes
    IterableOnceOps
    Annotations
    @inline()
  222. def toIndexedSeq: IndexedSeq[T]
    Definition Classes
    IterableOnceOps
  223. def toList: List[T]
    Definition Classes
    IterableOnceOps
  224. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Definition Classes
    IterableOnceOps
  225. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  226. def toPrintable: Printable

    Default "pretty-print" implementation Analogous to printing a Map Results in "$className(elt0.name -> elt0.value, ...)"

    Default "pretty-print" implementation Analogous to printing a Map Results in "$className(elt0.name -> elt0.value, ...)"

    Definition Classes
    RecordData
  227. def toSeq: Seq[T]
    Definition Classes
    IterableOnceOps
  228. def toSet[B >: T]: Set[B]
    Definition Classes
    IterableOnceOps
  229. def toString(): String
    Definition Classes
    Seq → Function1 → Iterable → AnyRef → Any
  230. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  231. def toVector: Vector[T]
    Definition Classes
    IterableOnceOps
  232. def transpose[B](implicit asIterable: (T) => Iterable[B]): IndexedSeq[IndexedSeq[B]]
    Definition Classes
    IterableOps
  233. def unapply(a: Int): Option[T]
    Definition Classes
    PartialFunction
  234. def unlift: PartialFunction[Int, B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toUnliftOps[Int, B] performed by method UnliftOps in scala.Function1.This conversion will take place only if T is a subclass of Option[B] (T <: Option[B]).
    Definition Classes
    UnliftOps
  235. def unzip[A1, A2](implicit asPair: (T) => (A1, A2)): (IndexedSeq[A1], IndexedSeq[A2])
    Definition Classes
    IterableOps
  236. def unzip3[A1, A2, A3](implicit asTriple: (T) => (A1, A2, A3)): (IndexedSeq[A1], IndexedSeq[A2], IndexedSeq[A3])
    Definition Classes
    IterableOps
  237. def updated[B >: T](index: Int, elem: B): IndexedSeq[B]
    Definition Classes
    SeqOps
  238. def view: IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → SeqOps → IterableOps
  239. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  240. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  241. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  242. def waive(members: (MixedVec[T]) => Data*): connectable.Connectable[MixedVec[T]]

    Select members of base to waive

    Select members of base to waive

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  243. def waiveAll: connectable.Connectable[MixedVec[T]]

    Waive all members of base

    Waive all members of base

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  244. def waiveAllAs[S <: Data](implicit ev: <:<[MixedVec[T], S]): connectable.Connectable[S]

    Waive all members of base and static cast to a new type

    Waive all members of base and static cast to a new type

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  245. def waiveAs[S <: Data](members: (MixedVec[T]) => Data*)(implicit ev: <:<[MixedVec[T], S]): connectable.Connectable[S]

    Select members of base to waive and static cast to a new type

    Select members of base to waive and static cast to a new type

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  246. def waiveEach[S <: Data](pf: PartialFunction[Data, Seq[Data]])(implicit ev: <:<[MixedVec[T], S]): connectable.Connectable[S]

    Programmatically select members of base to waive and static cast to a new type

    Programmatically select members of base to waive and static cast to a new type

    Implicit
    This member is added by an implicit conversion from MixedVec[T] toConnectable[MixedVec[T]] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  247. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  248. def withFilter(p: (T) => Boolean): WithFilter[T, [_]IndexedSeq[_]]
    Definition Classes
    IterableOps
  249. def zip[B](that: IterableOnce[B]): IndexedSeq[(T, B)]
    Definition Classes
    IterableOps
  250. def zipAll[A1 >: T, B](that: Iterable[B], thisElem: A1, thatElem: B): IndexedSeq[(A1, B)]
    Definition Classes
    IterableOps
  251. def zipWithIndex: IndexedSeq[(T, Int)]
    Definition Classes
    IterableOps → IterableOnceOps

Deprecated Value Members

  1. def /:[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T])./:(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  2. final def /:[B](z: B)(op: (B, T) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldLeft instead of /:

  3. def :\[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).:\(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  4. final def :\[B](z: B)(op: (T, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use foldRight instead of :\

  5. def aggregate[B](z: => B)(seqop: (B, T) => B, combop: (B, B) => B): B
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) aggregate is not relevant for sequential collections. Use foldLeft(z)(seqop) instead.

  6. def collectFirst[B](f: PartialFunction[T, B]): Option[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).collectFirst(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.collectFirst(...) instead

  7. def companion: IterableFactory[[_]IndexedSeq[_]]
    Definition Classes
    IterableOps
    Annotations
    @deprecated @deprecatedOverriding() @inline()
    Deprecated

    (Since version 2.13.0) Use iterableFactory instead

  8. def copyToBuffer(dest: Buffer[T]): Unit
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).copyToBuffer(dest)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.copyToBuffer(...) instead

  9. final def copyToBuffer[B >: T](dest: Buffer[B]): Unit
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use dest ++= coll instead

  10. def count(f: (T) => Boolean): Int
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).count(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.count(...) instead

  11. def exists(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).exists(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.exists(...) instead

  12. def filter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).filter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.filter(...) instead

  13. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  14. def find(p: (T) => Boolean): Option[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).find(p)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.find instead

  15. def flatMap[B](f: (T) => IterableOnce[B]): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).flatMap(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.flatMap instead or consider requiring an Iterable

  16. def fold[A1 >: A](z: A1)(op: (A1, A1) => A1): A1
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).fold(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.fold instead

  17. def foldLeft[B](z: B)(op: (B, T) => B): B
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).foldLeft(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldLeft instead

  18. def foldRight[B](z: B)(op: (T, B) => B): B
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).foldRight(z)(op)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foldRight instead

  19. def forall(f: (T) => Boolean): Boolean
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).forall(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.forall(...) instead

  20. def foreach[U](f: (T) => U): Unit
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).foreach(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.foreach(...) instead

  21. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toStringFormat[MixedVec[T]] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  22. def hasDefiniteSize: Boolean
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Check .knownSize instead of .hasDefiniteSize for more actionable information (see scaladoc for details)

  23. def isEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).isEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.isEmpty instead

  24. def map[B](f: (T) => B): IterableOnce[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).map(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.map instead or consider requiring an Iterable

  25. def max(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).max(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.max instead

  26. def maxBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).maxBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.maxBy(...) instead

  27. def min(implicit ord: Ordering[T]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).min(ord)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.min instead

  28. def minBy[B](f: (T) => B)(implicit cmp: Ordering[B]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).minBy(f)(cmp)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.minBy(...) instead

  29. def mkString: String
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).mkString
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  30. def mkString(sep: String): String
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).mkString(sep)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  31. def mkString(start: String, sep: String, end: String): String
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).mkString(start, sep, end)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.mkString instead

  32. def nonEmpty: Boolean
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).nonEmpty
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.nonEmpty instead

  33. final def prefixLength(p: (T) => Boolean): Int
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use segmentLength instead of prefixLength

  34. def product(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).product(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.product instead

  35. def reduce(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduce(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduce(...) instead

  36. def reduceLeft(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduceLeft(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeft(...) instead

  37. def reduceLeftOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduceLeftOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceLeftOption(...) instead

  38. def reduceOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduceOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceOption(...) instead

  39. def reduceRight(f: (T, T) => T): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduceRight(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRight(...) instead

  40. def reduceRightOption(f: (T, T) => T): Option[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).reduceRightOption(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.reduceRightOption(...) instead

  41. final def repr: IndexedSeq[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use coll instead of repr in a collection implementation, use the collection value itself from the outside

  42. def reverseMap[B](f: (T) => B): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .reverseIterator.map(f).to(...) instead of .reverseMap(f)

  43. def sameElements[B >: A](that: IterableOnce[B]): Boolean
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).sameElements(that)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sameElements instead

  44. def seq: MixedVec.this.type
    Definition Classes
    Iterable
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Iterable.seq always returns the iterable itself

  45. def size: Int
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).size
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.size instead

  46. def sum(implicit num: Numeric[T]): T
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).sum(num)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.sum instead

  47. def to[C1](factory: Factory[T, C1]): C1
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).to(factory)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(factory) instead

  48. def toArray[B >: A](implicit arg0: ClassTag[B]): Array[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toArray(arg0)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toArray

  49. def toBuffer[B >: A]: Buffer[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toBuffer
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(ArrayBuffer) instead

  50. def toIndexedSeq: IndexedSeq[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toIndexedSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.toIndexedSeq instead

  51. final def toIterable: Iterable[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toIterable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  52. final def toIterable: MixedVec.this.type
    Definition Classes
    Iterable → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.7) toIterable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  53. def toIterator: Iterator[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toIterator
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead

  54. final def toIterator: Iterator[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator instead of .toIterator

  55. def toList: List[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toList
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(List) instead

  56. def toMap[K, V](implicit ev: <:<[T, (K, V)]): Map[K, V]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toMap(ev)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Map) instead

  57. def toSeq: Seq[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toSeq
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Seq) instead

  58. def toSet[B >: A]: Set[B]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toSet
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Set) instead

  59. def toStream: Stream[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toStream
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(LazyList) instead

  60. final def toStream: Stream[T]
    Definition Classes
    IterableOnceOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .to(LazyList) instead of .toStream

  61. final def toTraversable: Traversable[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toTraversable
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Iterable) instead

  62. final def toTraversable: Traversable[T]
    Definition Classes
    IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) toTraversable is internal and will be made protected; its name is similar to toList or toSeq, but it doesn't copy non-immutable collections

  63. def toVector: Vector[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).toVector
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use .iterator.to(Vector) instead

  64. final def union[B >: T](that: Seq[B]): IndexedSeq[B]
    Definition Classes
    SeqOps
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.13.0) Use concat instead

  65. def view(from: Int, until: Int): IndexedSeqView[T]
    Definition Classes
    IndexedSeqOps → IterableOps
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .view.slice(from, until) instead of .view(from, until)

  66. def withFilter(f: (T) => Boolean): Iterator[T]
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toIterableOnceExtensionMethods[T] performed by method iterableOnceExtensionMethods in scala.collection.IterableOnce.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (mixedVec: IterableOnceExtensionMethods[T]).withFilter(f)
    Definition Classes
    IterableOnceExtensionMethods
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use .iterator.withFilter(...) instead

  67. def [B](y: B): (MixedVec[T], B)
    Implicit
    This member is added by an implicit conversion from MixedVec[T] toArrowAssoc[MixedVec[T]] performed by method ArrowAssoc in scala.Predef.This conversion will take place only if T is a subclass of Option[Nothing] (T <: Option[Nothing]).
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from IndexedSeq[T]

Inherited from IndexedSeqOps[T, IndexedSeq, IndexedSeq[T]]

Inherited from Seq[T]

Inherited from Equals

Inherited from SeqOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from PartialFunction[Int, T]

Inherited from (Int) => T

Inherited from Iterable[T]

Inherited from IterableFactoryDefaults[T, [x]IndexedSeq[x]]

Inherited from IterableOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnceOps[T, [_]IndexedSeq[_], IndexedSeq[T]]

Inherited from IterableOnce[T]

Inherited from Record

Inherited from Aggregate

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from InstanceId

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion UnliftOps fromMixedVec[T] to UnliftOps[Int, B]

Inherited by implicit conversion iterableOnceExtensionMethods fromMixedVec[T] to IterableOnceExtensionMethods[T]

Inherited by implicit conversion DataEquality fromMixedVec[T] to DataEquality[MixedVec[T]]

Inherited by implicit conversion toConnectableDefault fromMixedVec[T] to Connectable[MixedVec[T]]

Inherited by implicit conversion ConnectableDefault fromMixedVec[T] to ConnectableDefault[MixedVec[T]]

Inherited by implicit conversion any2stringadd fromMixedVec[T] to any2stringadd[MixedVec[T]]

Inherited by implicit conversion StringFormat fromMixedVec[T] to StringFormat[MixedVec[T]]

Inherited by implicit conversion Ensuring fromMixedVec[T] to Ensuring[MixedVec[T]]

Inherited by implicit conversion ArrowAssoc fromMixedVec[T] to ArrowAssoc[MixedVec[T]]

connection

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.