Chisel

Fixed

object Fixed

Factory methods for Fixed

Source
Fixed.scala
Linear Supertypes
AnyRef, Any
Ordering
  1. Alphabetic
  2. By inheritance
Inherited
  1. Fixed
  2. AnyRef
  3. Any
  1. Hide All
  2. Show all
Learn more about member selection
Visibility
  1. Public
  2. All

Value Members

  1. final def !=(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  2. final def !=(arg0: Any): Boolean

    Definition Classes
    Any
  3. final def ##(): Int

    Definition Classes
    AnyRef → Any
  4. final def ==(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  5. final def ==(arg0: Any): Boolean

    Definition Classes
    Any
  6. def apply(dir: IODirection = null, width: Int = 1, fracWidth: Int = 1): Fixed

    Create a Fixed I/O Chisel.Node with specified width and fracWidth

    Create a Fixed I/O Chisel.Node with specified width and fracWidth

    dir

    Direction of I/O for the node, eg) INPUT or OUTPUT

    width

    the total number of bits to use in the representation

    fracWidth

    the integer fractional width to use

    returns

    A fixed node with the specified parameters

  7. def apply(x: BigInt, width: Int, fracWidth: Int): Fixed

    Create a Fixed Chisel.Node with specified width and fracWidth

    Create a Fixed Chisel.Node with specified width and fracWidth

    x

    An BigInt to use literally as the fixed point bits

    width

    the total number of bits to use in the representation

    fracWidth

    the integer fractional width to use

    returns

    A fixed node with the specified parameters

  8. def apply(x: Double, width: Int, fracWidth: Int): Fixed

    Create a Fixed Chisel.Node with specified width and fracWidth

    Create a Fixed Chisel.Node with specified width and fracWidth

    x

    An Double to convert to fixed point

    width

    the total number of bits to use in the representation

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A fixed node with the specified parameters

  9. def apply(x: Float, width: Int, fracWidth: Int): Fixed

    Create a Fixed Chisel.Node with specified width and fracWidth

    Create a Fixed Chisel.Node with specified width and fracWidth

    x

    An Float to convert to fixed point

    width

    the total number of bits to use in the representation

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A fixed node with the specified parameters

  10. def apply(x: Int, width: Int, fracWidth: Int): Fixed

    Create a Fixed Chisel.Node with specified width and fracWidth

    Create a Fixed Chisel.Node with specified width and fracWidth

    x

    An Int to convert to fixed point

    width

    the total number of bits to use in the representation

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A fixed node with the specified parameters

  11. final def asInstanceOf[T0]: T0

    Definition Classes
    Any
  12. def clone(): AnyRef

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  13. final def eq(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  14. def equals(arg0: Any): Boolean

    Definition Classes
    AnyRef → Any
  15. def finalize(): Unit

    Attributes
    protected[java.lang]
    Definition Classes
    AnyRef
    Annotations
    @throws( classOf[java.lang.Throwable] )
  16. final def getClass(): Class[_]

    Definition Classes
    AnyRef → Any
  17. def hashCode(): Int

    Definition Classes
    AnyRef → Any
  18. final def isInstanceOf[T0]: Boolean

    Definition Classes
    Any
  19. final def ne(arg0: AnyRef): Boolean

    Definition Classes
    AnyRef
  20. final def notify(): Unit

    Definition Classes
    AnyRef
  21. final def notifyAll(): Unit

    Definition Classes
    AnyRef
  22. final def synchronized[T0](arg0: ⇒ T0): T0

    Definition Classes
    AnyRef
  23. def toFixed(x: Int, fracWidth: Int): BigInt

    Convert an Int to fixed point with a specified fractional width

    Convert an Int to fixed point with a specified fractional width

    x

    Double to convert

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A BigInt representing the bits in the fixed point

  24. def toFixed(x: Float, fracWidth: Int): BigInt

    Convert a Float to fixed point with a specified fractional width

    Convert a Float to fixed point with a specified fractional width

    x

    Float to convert

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A BigInt representing the bits in the fixed point

  25. def toFixed(x: Double, fracWidth: Int): BigInt

    Convert a double to fixed point with a specified fractional width

    Convert a double to fixed point with a specified fractional width

    x

    Double to convert

    fracWidth

    the integer fractional width to use in the conversion

    returns

    A BigInt representing the bits in the fixed point

  26. def toString(): String

    Definition Classes
    AnyRef → Any
  27. final def wait(): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  28. final def wait(arg0: Long, arg1: Int): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )
  29. final def wait(arg0: Long): Unit

    Definition Classes
    AnyRef
    Annotations
    @throws( ... )

Inherited from AnyRef

Inherited from Any

Ungrouped