Package

Chisel

Permalink

package Chisel

Source
compatibility.scala
Linear Supertypes
Content Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Chisel
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. implicit class AddDirMethodToData[T <: Data] extends AnyRef

    Permalink
  2. implicit class AddDirectionToData[T <: Data] extends AnyRef

    Permalink
  3. type Aggregate = chisel3.Aggregate

    Permalink
  4. type Arbiter[T <: Data] = chisel3.util.Arbiter[T]

    Permalink
  5. type ArbiterIO[T <: Data] = chisel3.util.ArbiterIO[T]

    Permalink
  6. type BackendCompilationUtilities = firrtl.util.BackendCompilationUtilities

    Permalink
  7. type BitPat = chisel3.util.BitPat

    Permalink
  8. type Bits = chisel3.Bits

    Permalink
  9. implicit class BitsCompatibility extends AnyRef

    Permalink
  10. implicit class BitsObjectCompatibility extends AnyRef

    Permalink
  11. abstract class BlackBox extends chisel3.BlackBox

    Permalink
  12. type Bool = chisel3.Bool

    Permalink
  13. trait BoolFactory extends chisel3.BoolFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

  14. type Bundle = chisel3.Bundle

    Permalink
  15. type ChiselException = chisel3.internal.ChiselException

    Permalink
  16. type Clock = chisel3.Clock

    Permalink
  17. abstract class CompatibilityModule extends LegacyModule

    Permalink
  18. type Counter = chisel3.util.Counter

    Permalink
  19. type Data = chisel3.Data

    Permalink
  20. implicit class DataCompatibility extends AnyRef

    Permalink
  21. type DecoupledIO[+T <: Data] = chisel3.util.DecoupledIO[T]

    Permalink
  22. abstract class Direction extends AnyRef

    Permalink
  23. type Element = chisel3.Element

    Permalink
  24. type LockingArbiter[T <: Data] = chisel3.util.LockingArbiter[T]

    Permalink
  25. type LockingArbiterLike[T <: Data] = chisel3.util.LockingArbiterLike[T]

    Permalink
  26. type LockingRRArbiter[T <: Data] = chisel3.util.LockingRRArbiter[T]

    Permalink
  27. type Mem[T <: Data] = chisel3.Mem[T]

    Permalink
  28. type MemBase[T <: Data] = chisel3.MemBase[T]

    Permalink
  29. implicit class MemCompatibility extends AnyRef

    Permalink
  30. type Module = CompatibilityModule

    Permalink
  31. type Num[T <: Data] = chisel3.Num[T]

    Permalink
  32. type Pipe[T <: Data] = chisel3.util.Pipe[T]

    Permalink
  33. type Queue[T <: Data] = QueueCompatibility[T]

    Permalink
  34. sealed class QueueCompatibility[T <: Data] extends chisel3.util.Queue[T]

    Permalink
  35. type QueueIO[T <: Data] = chisel3.util.QueueIO[T]

    Permalink
  36. type RRArbiter[T <: Data] = chisel3.util.RRArbiter[T]

    Permalink
  37. type Record = chisel3.Record

    Permalink
  38. type Reset = chisel3.Reset

    Permalink
  39. type SInt = chisel3.SInt

    Permalink
  40. trait SIntFactory extends chisel3.SIntFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

  41. type SeqMem[T <: Data] = SyncReadMem[T]

    Permalink
  42. implicit class SeqMemCompatibility extends AnyRef

    Permalink
  43. type SwitchContext[T <: Bits] = chisel3.util.SwitchContext[T]

    Permalink
  44. type UInt = chisel3.UInt

    Permalink
  45. trait UIntFactory extends chisel3.UIntFactory

    Permalink

    This contains literal constructor factory methods that are deprecated as of Chisel3.

  46. type ValidIO[+T <: Data] = Valid[T]

    Permalink
  47. type Vec[T <: Data] = chisel3.Vec[T]

    Permalink
  48. type VecLike[T <: Data] = chisel3.VecLike[T]

    Permalink
  49. implicit class VecLikeCompatibility[T <: Data] extends AnyRef

    Permalink
  50. type WhenContext = chisel3.WhenContext

    Permalink
  51. implicit class cloneTypeable[T <: Data] extends AnyRef

    Permalink
  52. implicit class fromBigIntToLiteral extends chisel3.fromBigIntToLiteral

    Permalink
  53. implicit class fromBitsable[T <: Data] extends AnyRef

    Permalink
  54. implicit class fromBooleanToLiteral extends chisel3.fromBooleanToLiteral

    Permalink
  55. implicit class fromIntToWidth extends chisel3.fromIntToWidth

    Permalink
  56. implicit class fromStringToLiteral extends chisel3.fromStringToLiteral

    Permalink
  57. implicit class fromtIntToLiteral extends fromIntToLiteral

    Permalink
  58. implicit class fromtLongToLiteral extends fromLongToLiteral

    Permalink

Value Members

  1. val BitPat: chisel3.util.BitPat.type

    Permalink
  2. object Bits extends UIntFactory

    Permalink
  3. object Bool extends BoolFactory

    Permalink
  4. val Cat: chisel3.util.Cat.type

    Permalink
  5. object Clock

    Permalink
  6. val Counter: chisel3.util.Counter.type

    Permalink
  7. val Decoupled: chisel3.util.Decoupled.type

    Permalink
  8. val DecoupledIO: chisel3.util.Decoupled.type

    Permalink
  9. val Driver: chisel3.Driver.type

    Permalink
  10. object Enum extends Enum

    Permalink
  11. val Fill: chisel3.util.Fill.type

    Permalink
  12. val FillInterleaved: chisel3.util.FillInterleaved.type

    Permalink
  13. object Flipped

    Permalink
  14. object INPUT extends Direction with Product with Serializable

    Permalink
  15. val ImplicitConversions: chisel3.util.ImplicitConversions.type

    Permalink
  16. val Input: chisel3.Input.type

    Permalink
  17. val LFSR16: chisel3.util.LFSR16.type

    Permalink
  18. val ListLookup: chisel3.util.ListLookup.type

    Permalink
  19. val Log2: chisel3.util.Log2.type

    Permalink
  20. val Lookup: chisel3.util.Lookup.type

    Permalink
  21. val Mem: chisel3.Mem.type

    Permalink
  22. val Module: chisel3.Module.type

    Permalink
  23. val Mux: chisel3.Mux.type

    Permalink
  24. val Mux1H: chisel3.util.Mux1H.type

    Permalink
  25. val MuxCase: chisel3.util.MuxCase.type

    Permalink
  26. val MuxLookup: chisel3.util.MuxLookup.type

    Permalink
  27. object NODIR extends Direction with Product with Serializable

    Permalink
  28. val OHToUInt: chisel3.util.OHToUInt.type

    Permalink
  29. object OUTPUT extends Direction with Product with Serializable

    Permalink
  30. val Output: chisel3.Output.type

    Permalink
  31. val Pipe: chisel3.util.Pipe.type

    Permalink
  32. val PopCount: chisel3.util.PopCount.type

    Permalink
  33. val PriorityEncoder: chisel3.util.PriorityEncoder.type

    Permalink
  34. val PriorityEncoderOH: chisel3.util.PriorityEncoderOH.type

    Permalink
  35. val PriorityMux: chisel3.util.PriorityMux.type

    Permalink
  36. val Queue: chisel3.util.Queue.type

    Permalink
  37. object Reg

    Permalink
  38. val RegEnable: chisel3.util.RegEnable.type

    Permalink
  39. val RegInit: chisel3.RegInit.type

    Permalink
  40. val RegNext: chisel3.RegNext.type

    Permalink
  41. val Reverse: chisel3.util.Reverse.type

    Permalink
  42. object SInt extends SIntFactory

    Permalink
  43. val SeqMem: SyncReadMem.type

    Permalink
  44. val ShiftRegister: chisel3.util.ShiftRegister.type

    Permalink
  45. object UInt extends UIntFactory

    Permalink
  46. val UIntToOH: chisel3.util.UIntToOH.type

    Permalink
  47. val Valid: chisel3.util.Valid.type

    Permalink
  48. object Vec extends VecFactory

    Permalink
  49. object Wire extends WireFactory

    Permalink
  50. val assert: chisel3.assert.type

    Permalink
  51. object chiselMain

    Permalink
  52. implicit val defaultCompileOptions: CompileOptionsClass

    Permalink
  53. object experimental

    Permalink

    Package for experimental features, which may have their API changed, be removed, etc.

    Package for experimental features, which may have their API changed, be removed, etc.

    Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

  54. val is: chisel3.util.is.type

    Permalink
  55. val isPow2: chisel3.util.isPow2.type

    Permalink
  56. val log2Ceil: chisel3.util.log2Ceil.type

    Permalink
  57. object log2Down

    Permalink

    Compute the log2 rounded down with min value of 1

  58. val log2Floor: chisel3.util.log2Floor.type

    Permalink
  59. object log2Up

    Permalink

    Compute the log2 rounded up with min value of 1

  60. val printf: chisel3.printf.type

    Permalink
  61. implicit def resetToBool(reset: Reset): Bool

    Permalink
  62. val stop: chisel3.stop.type

    Permalink
  63. val switch: chisel3.util.switch.type

    Permalink
  64. object testers

    Permalink
  65. object throwException

    Permalink
    Annotations
    @throws( classOf[Exception] )
  66. val unless: chisel3.util.unless.type

    Permalink
  67. val when: chisel3.when.type

    Permalink

Deprecated Value Members

  1. object debug

    Permalink
    Annotations
    @deprecated
    Deprecated

    (Since version chisel3) debug doesn't do anything in Chisel3 as no pruning happens in the frontend

Inherited from AnyRef

Inherited from Any

Ungrouped