Packages

p

chisel3

stage

package stage

Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. stage
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. case class ChiselCircuitAnnotation(circuit: Circuit) extends NoTargetAnnotation with ChiselOption with Product with Serializable

    Stores a Chisel Circuit

    Stores a Chisel Circuit

    circuit

    a Chisel Circuit

  2. trait ChiselCli extends AnyRef
  3. case class ChiselGeneratorAnnotation(gen: () ⇒ RawModule) extends NoTargetAnnotation with Unserializable with Product with Serializable

    An firrtl.annotations.Annotation storing a function that returns a Chisel module

    An firrtl.annotations.Annotation storing a function that returns a Chisel module

    gen

    a generator function

  4. sealed trait ChiselOption extends Unserializable

    Mixin that indicates that this is an firrtl.annotations.Annotation used to generate a ChiselOptions view.

  5. class ChiselOptions extends AnyRef
  6. case class ChiselOutputFileAnnotation(file: String) extends NoTargetAnnotation with ChiselOption with Product with Serializable
  7. class ChiselStage extends Stage with PreservesAll[Phase]
  8. case class DesignAnnotation[DUT <: RawModule](design: DUT) extends NoTargetAnnotation with Unserializable with Product with Serializable

    Contains the top-level elaborated Chisel design.

    Contains the top-level elaborated Chisel design.

    By default is created during Chisel elaboration and passed to the FIRRTL compiler.

    DUT

    Type of the top-level Chisel design

    design

    top-level Chisel design

Value Members

  1. object ChiselGeneratorAnnotation extends HasShellOptions with Serializable
  2. object ChiselMain extends StageMain
  3. implicit object ChiselOptionsView extends OptionsView[ChiselOptions]
  4. object ChiselOutputFileAnnotation extends HasShellOptions with Serializable
  5. object NoRunFirrtlCompilerAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Product with Serializable

    Disable the execution of the FIRRTL compiler by Chisel

  6. object PrintFullStackTraceAnnotation extends NoTargetAnnotation with ChiselOption with HasShellOptions with Product with Serializable

    On an exception, this will cause the full stack trace to be printed as opposed to a pruned stack trace.

Inherited from AnyRef

Inherited from Any

Ungrouped