Packages

p

chisel3

package chisel3

Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. chisel3
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. trait BackendCompilationUtilities extends firrtl.util.BackendCompilationUtilities

    The Driver provides methods to invoke the chisel3 compiler and the firrtl compiler.

    The Driver provides methods to invoke the chisel3 compiler and the firrtl compiler. By default firrtl is automatically run after chisel. an ExecutionOptionsManager is needed to manage options. It can parser command line arguments or coordinate multiple chisel toolchain tools options.

    Examples:
    1. val optionsManager = new ExecutionOptionsManager("chisel3")
          with HasFirrtlOptions
          with HasChiselExecutionOptions {
        commonOptions = CommonOption(targetDirName = "my_target_dir")
        chiselOptions = ChiselExecutionOptions(runFirrtlCompiler = false)
      }
      chisel3.Driver.execute(optionsManager, () => new Dut)

      or via command line arguments

    2. ,
    3. args = "--no-run-firrtl --target-dir my-target-dir".split(" +")
      chisel3.execute(args, () => new DUT)
  2. type ChiselException = chisel3.internal.ChiselException
  3. case class ChiselExecutionOptions(runFirrtlCompiler: Boolean = true, printFullStackTrace: Boolean = false) extends ComposableOptions with Product with Serializable

    Options that are specific to chisel.

    Options that are specific to chisel.

    runFirrtlCompiler

    when true just run chisel, when false run chisel then compile its output with firrtl

    Note

    this extends FirrtlExecutionOptions which extends CommonOptions providing easy access to down chain options

  4. trait HasChiselExecutionOptions extends AnyRef
  5. type InstanceId = chisel3.internal.InstanceId
  6. type Module = LegacyModule
  7. case class ChiselExecutionFailure(message: String) extends ChiselExecutionResult with Product with Serializable

    Getting one of these indicates failure of some sort.

    Getting one of these indicates failure of some sort.

    message

    A clue might be provided here.

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.4) This will be removed in Chisel 3.5

  8. trait ChiselExecutionResult extends AnyRef

    This family provides return values from the chisel3 and possibly firrtl compile steps

    This family provides return values from the chisel3 and possibly firrtl compile steps

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel3 3.4) This will be removed in Chisel 3.5

  9. case class ChiselExecutionSuccess(circuitOption: Option[Circuit], emitted: String, firrtlResultOption: Option[FirrtlExecutionResult]) extends ChiselExecutionResult with Product with Serializable

    circuitOption

    Optional circuit, has information like circuit name

    emitted

    The emitted Chirrrl text

    firrtlResultOption

    Optional Firrtl result, @see freechipsproject/firrtl for details

    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.4) This will be removed in Chisel 3.5

Value Members

  1. val DontCare: InternalDontCare.type
  2. val WireInit: WireDefault.type
  3. def getDataElements(a: Aggregate): Seq[Element]
  4. def getModulePorts(m: Module): Seq[Port]
  5. implicit def string2Printable(str: String): Printable

Deprecated Value Members

  1. object Driver extends BackendCompilationUtilities
    Annotations
    @deprecated
    Deprecated

    (Since version 3.2.4) Please switch to chisel3.stage.ChiselStage

Inherited from AnyRef

Inherited from Any

Ungrouped