Packages

sealed class FixedPoint extends Bits with Num[FixedPoint] with HasBinaryPoint

A sealed class representing a fixed point number that has a bit width and a binary point The width and binary point may be inferred.

IMPORTANT: The API provided here is experimental and may change in the future.

Source
Bits.scala
Linear Supertypes
HasBinaryPoint, Num[FixedPoint], Bits, ToBoolable, Element, Data, SourceInfoDoc, NamedComponent, HasId, internal.InstanceId, AnyRef, Any
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. FixedPoint
  2. HasBinaryPoint
  3. Num
  4. Bits
  5. ToBoolable
  6. Element
  7. Data
  8. SourceInfoDoc
  9. NamedComponent
  10. HasId
  11. InstanceId
  12. AnyRef
  13. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Value Members

  1. final macro def !=(that: FixedPoint): Bool
  2. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  3. final macro def ##(that: Bits): UInt

    Concatenation operator

    Concatenation operator

    that

    a hardware component

    returns

    this FixedPoint concatenated to the most significant end of that

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + width of that.

  4. final def ##: Int
    Definition Classes
    AnyRef → Any
  5. final macro def %(that: FixedPoint): FixedPoint

    Modulo operator

    Modulo operator

    that

    a FixedPoint

    returns

    the remainder of this FixedPoint divided by that

    Definition Classes
    Num
    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  6. final macro def &(that: FixedPoint): FixedPoint

    Bitwise and operator

    Bitwise and operator

    that

    a hardware FixedPoint

    returns

    the bitwise and of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  7. final macro def *(that: SInt): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a hardware SInt

    returns

    the product of this FixedPoint and that

    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  8. final macro def *(that: UInt): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a hardware UInt

    returns

    the product of this FixedPoint and that

    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  9. final macro def *(that: FixedPoint): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a FixedPoint

    returns

    the product of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  10. final macro def +(that: FixedPoint): FixedPoint

    Addition operator

    Addition operator

    that

    a FixedPoint

    returns

    the sum of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  11. final macro def +%(that: FixedPoint): FixedPoint

    Addition operator (constant width)

    Addition operator (constant width)

    that

    a hardware FixedPoint

    returns

    the sum of this FixedPoint and that shifted right by one

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  12. final macro def +&(that: FixedPoint): FixedPoint

    Addition operator (expanding width)

    Addition operator (expanding width)

    that

    a hardware FixedPoint

    returns

    the sum of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  13. final macro def -(that: FixedPoint): FixedPoint

    Subtraction operator

    Subtraction operator

    that

    a FixedPoint

    returns

    the difference of this FixedPoint less that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  14. final macro def -%(that: FixedPoint): FixedPoint

    Subtraction operator (constant width)

    Subtraction operator (constant width)

    that

    a hardware FixedPoint

    returns

    the difference of this FixedPoint less that shifted right by one

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  15. final macro def -&(that: FixedPoint): FixedPoint

    Subtraction operator (increasing width)

    Subtraction operator (increasing width)

    that

    a hardware FixedPoint

    returns

    the difference of this FixedPoint less that

    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  16. final macro def /(that: FixedPoint): FixedPoint

    Division operator

    Division operator

    that

    a FixedPoint

    returns

    the quotient of this FixedPoint divided by that

    Definition Classes
    Num
    To do

    full rules

    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  17. final def :=(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this FixedPoint to that FixedPoint mono-directionally and element-wise.

    Connect this FixedPoint to that FixedPoint mono-directionally and element-wise.

    This uses the MonoConnect algorithm.

    that

    the FixedPoint to connect to

    Definition Classes
    Data
  18. final macro def <(that: FixedPoint): Bool

    Less than operator

    Less than operator

    that

    a FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is less than that

    Definition Classes
    Num
  19. final macro def <<(that: UInt): Bits

    Dynamic left shift operator

    Dynamic left shift operator

    that

    a hardware component

    returns

    this FixedPoint dynamically shifted left by that many places, shifting in zeros from the right

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + pow(2, width of that) - 1.

  20. final macro def <<(that: Int): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + that.

  21. final macro def <<(that: BigInt): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + that.

  22. final macro def <=(that: FixedPoint): Bool

    Less than or equal to operator

    Less than or equal to operator

    that

    a FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is less than or equal to that

    Definition Classes
    Num
  23. final def <>(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    Connect this FixedPoint to that FixedPoint bi-directionally and element-wise.

    Connect this FixedPoint to that FixedPoint bi-directionally and element-wise.

    This uses the BiConnect algorithm.

    that

    the FixedPoint to connect to

    Definition Classes
    Data
  24. final macro def =/=(that: FixedPoint): Bool

    Dynamic not equals operator

    Dynamic not equals operator

    that

    a hardware FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is not equal to that

  25. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  26. final macro def ===(that: FixedPoint): Bool

    Dynamic equals operator

    Dynamic equals operator

    that

    a hardware FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is equal to that

  27. final macro def >(that: FixedPoint): Bool

    Greater than operator

    Greater than operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this FixedPoint is greater than that

    Definition Classes
    Num
  28. final macro def >=(that: FixedPoint): Bool

    Greater than or equal to operator

    Greater than or equal to operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this FixedPoint is greather than or equal to that

    Definition Classes
    Num
  29. final macro def >>(that: UInt): Bits

    Dynamic right shift operator

    Dynamic right shift operator

    that

    a hardware component

    returns

    this FixedPoint dynamically shifted right by the value of that component, inserting zeros into the most significant bits.

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  30. final macro def >>(that: Int): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  31. final macro def >>(that: BigInt): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  32. final macro def ^(that: FixedPoint): FixedPoint

    Bitwise exclusive or (xor) operator

    Bitwise exclusive or (xor) operator

    that

    a hardware FixedPoint

    returns

    the bitwise xor of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  33. final macro def abs: FixedPoint

    Absolute value operator

    Absolute value operator

    returns

    a FixedPoint with a value equal to the absolute value of this FixedPoint

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  34. final macro def apply(x: BigInt, y: BigInt): UInt

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  35. final macro def apply(x: Int, y: Int): UInt

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  36. final macro def apply(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  37. final macro def apply(x: Int): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  38. final macro def apply(x: BigInt): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  39. final macro def asBool: Bool

    Casts this FixedPoint to a Bool

    Casts this FixedPoint to a Bool

    Definition Classes
    ToBoolable
    Note

    The width must be known and equal to 1

  40. final macro def asBools: Seq[Bool]

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Definition Classes
    Bits
  41. final macro def asFixedPoint(that: BinaryPoint): FixedPoint

    Reinterpret this FixedPoint as a FixedPoint.

    Reinterpret this FixedPoint as a FixedPoint.

    Definition Classes
    Bits
    Note

    The value is not guaranteed to be preserved. For example, a UInt of width 3 and value 7 (0b111) would become a FixedPoint with value -1. The interpretation of the number is also affected by the specified binary point. Caution is advised!

  42. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  43. final macro def asInterval(that: IntervalRange): Interval

    Reinterpret cast as a Interval.

    Reinterpret cast as a Interval.

    Definition Classes
    Bits
    Note

    value not guaranteed to be preserved: for example, an UInt of width 3 and value 7 (0b111) would become a FixedInt with value -1, the interpretation of the number is also affected by the specified binary point. Caution advised

  44. final macro def asSInt: SInt

    Reinterpret this FixedPoint as an SInt

    Reinterpret this FixedPoint as an SInt

    Definition Classes
    Bits
    Note

    The arithmetic value is not preserved if the most-significant bit is set. For example, a UInt of width 3 and value 7 (0b111) would become an SInt of width 3 and value -1.

  45. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  46. final macro def asUInt: UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  47. def autoSeed(name: String): FixedPoint.this.type

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  48. val binaryPoint: BinaryPoint
    Definition Classes
    FixedPointHasBinaryPoint
  49. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  50. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  51. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  52. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  53. def cloneType: FixedPoint.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    BitsData
  54. def connect(that: Data)(implicit sourceInfo: SourceInfo, connectCompileOptions: CompileOptions): Unit
    Definition Classes
    FixedPointData
  55. def do_!=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  56. def do_##(that: Bits)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  57. def do_%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  58. def do_&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  59. def do_*(that: SInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  60. def do_*(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  61. def do_*(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  62. def do_+(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    add (default - no growth) operator

    add (default - no growth) operator

    Definition Classes
    FixedPointNum
  63. def do_+%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  64. def do_+&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  65. def do_-(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    subtract (default - no growth) operator

    subtract (default - no growth) operator

    Definition Classes
    FixedPointNum
  66. def do_-%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  67. def do_-&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  68. def do_/(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  69. def do_<(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  70. def do_<<(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  71. def do_<<(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  72. def do_<<(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  73. def do_<=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  74. def do_=/=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  75. def do_===(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  76. def do_>(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  77. def do_>=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  78. def do_>>(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  79. def do_>>(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  80. def do_>>(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  81. def do_^(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  82. def do_abs(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  83. final def do_apply(x: BigInt, y: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  84. final def do_apply(x: Int, y: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  85. final def do_apply(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  86. final def do_apply(x: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  87. final def do_apply(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  88. final def do_asBool(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits → ToBoolable
  89. def do_asBools(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Seq[Bool]

    Definition Classes
    Bits
  90. def do_asFixedPoint(binaryPoint: BinaryPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  91. def do_asInterval(range: IntervalRange = IntervalRange.Unknown)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Interval
    Definition Classes
    FixedPointBits
  92. def do_asInterval(binaryPoint: BinaryPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Interval
  93. def do_asSInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): SInt

    Definition Classes
    FixedPointBits
  94. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  95. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    FixedPointData
  96. final def do_extract(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  97. final def do_extract(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  98. def do_head(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  99. def do_max(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    Num
  100. def do_min(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    Num
  101. def do_pad(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint.this.type

    Definition Classes
    Bits
  102. def do_setBinaryPoint(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  103. def do_tail(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  104. def do_unary_-(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  105. def do_unary_-%(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  106. def do_unary_~(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  107. def do_|(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  108. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  109. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  110. final macro def extract(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  111. final macro def extract(x: BigInt): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  112. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  113. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  114. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  115. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  116. final macro def head(n: Int): UInt

    Head operator

    Head operator

    n

    the number of bits to take

    returns

    The n most significant bits of this FixedPoint

    Definition Classes
    Bits
  117. def instanceName: String
    Definition Classes
    HasId → InstanceId
  118. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  119. def isLit: Boolean
    Definition Classes
    Data
  120. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  121. def litOption: Option[BigInt]

    If this is a literal that is representable as bits, returns the value as a BigInt.

    If this is a literal that is representable as bits, returns the value as a BigInt. If not a literal, or not representable as bits (for example, is or contains Analog), returns None.

    Definition Classes
    ElementData
  122. def litToBigDecimal: BigDecimal

    Return the BigDecimal value of this instance assuming it is a literal (convenience method)

    Return the BigDecimal value of this instance assuming it is a literal (convenience method)

    Definition Classes
    HasBinaryPoint
  123. def litToBigDecimalOption: Option[BigDecimal]

    Return the BigDecimal value of this instance if it is a Literal

    Return the BigDecimal value of this instance if it is a Literal

    Definition Classes
    HasBinaryPoint
    Note

    this method may throw an exception if the literal value won't fit in a BigDecimal

  124. def litToDouble: Double

    Return the double value of this instance assuming it is a literal (convenience method)

    Return the double value of this instance assuming it is a literal (convenience method)

    Definition Classes
    HasBinaryPoint
  125. def litToDoubleOption: Option[Double]

    Return the Double value of this instance if it is a Literal

    Return the Double value of this instance if it is a Literal

    Definition Classes
    HasBinaryPoint
    Note

    this method may throw an exception if the literal value won't fit in a Double

  126. def litValue: BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  127. final macro def max(that: FixedPoint): FixedPoint

    Maximum operator

    Maximum operator

    that

    a FixedPoint

    returns

    a FixedPoint with a value equal to the minimum value of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  128. final macro def min(that: FixedPoint): FixedPoint

    Minimum operator

    Minimum operator

    that

    a hardware FixedPoint

    returns

    a FixedPoint with a value equal to the minimum value of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  129. def name: String
    Definition Classes
    Element
  130. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  131. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  132. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  133. final macro def pad(that: Int): FixedPoint.this.type

    Pad operator

    Pad operator

    that

    the width to pad to

    returns

    this @coll zero padded up to width that. If that is less than the width of the original component, this method returns the original component.

    Definition Classes
    Bits
    Note

    For SInts only, this will do sign extension.

  134. def parentModName: String
    Definition Classes
    HasId → InstanceId
  135. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  136. def pathName: String
    Definition Classes
    HasId → InstanceId
  137. final macro def setBinaryPoint(that: Int): FixedPoint
  138. def suggestName(seed: => String): FixedPoint.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  139. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  140. final macro def tail(n: Int): UInt

    Tail operator

    Tail operator

    n

    the number of bits to remove

    returns

    This FixedPoint with the n most significant bits removed.

    Definition Classes
    Bits
  141. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  142. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  143. final def toPrintable: Printable

    Default print as Decimal

    Default print as Decimal

    Definition Classes
    BitsData
  144. def toString(): String
    Definition Classes
    FixedPoint → AnyRef → Any
  145. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  146. final macro def unary_-: FixedPoint

    Unary negation (expanding width)

    Unary negation (expanding width)

    returns

    a hardware FixedPoint equal to zero minus this FixedPoint

    Note

    The width of the returned FixedPoint is width of this + 1.

  147. final macro def unary_-%: FixedPoint

    Unary negation (constant width)

    Unary negation (constant width)

    returns

    a hardware FixedPoint equal to zero minus this shifted right by one

    Note

    The width of the returned FixedPoint is unchanged, i.e., width of this.

  148. final macro def unary_~: Bits

    Bitwise inversion operator

    Bitwise inversion operator

    returns

    this FixedPoint with each bit inverted

    Definition Classes
    Bits
  149. final def validateShiftAmount(x: Int): Int
    Attributes
    protected
    Definition Classes
    Bits
  150. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  151. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  152. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  153. def widthKnown: Boolean
    Definition Classes
    Element
  154. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  155. final macro def |(that: FixedPoint): FixedPoint

    Bitwise or operator

    Bitwise or operator

    that

    a hardware FixedPoint

    returns

    the bitwise or of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

Deprecated Value Members

  1. final macro def abs(dummy: Int*): FixedPoint
    Definition Classes
    Num
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  2. final macro def asBool(dummy: Int*): Bool
    Definition Classes
    ToBoolable
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  3. final macro def asBools(dummy: Int*): Seq[Bool]
    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  4. final macro def asSInt(dummy: Int*): SInt
    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  5. final macro def asUInt(dummy: Int*): UInt
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  6. def bindingToString: String
    Attributes
    protected
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5.0) This was never intended to be visible to user-defined types

  7. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  8. def isLit(dummy: Int*): Boolean
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  9. def litOption(dummy: Int*): Option[BigInt]
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  10. def litValue(dummy: Int*): BigInt
    Definition Classes
    Data
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  11. final macro def unary_-(dummy: Int*): FixedPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  12. final macro def unary_-%(dummy: Int*): FixedPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

  13. final macro def unary_~(dummy: Int*): Bits
    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Calling this function with an empty argument list is invalid in Scala 3. Use the form without parentheses instead

Inherited from HasBinaryPoint

Inherited from Num[FixedPoint]

Inherited from Bits

Inherited from ToBoolable

Inherited from Element

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from internal.InstanceId

Inherited from AnyRef

Inherited from Any

Arithmetic

Arithmetic hardware operators

Bitwise

Bitwise hardware operators

Comparison

Comparison hardware operators

Connect

Utilities for connecting hardware components

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.