Packages

sealed class Bool extends UInt with Reset

A data type for booleans, defined as a single bit indicating true or false.

Source
Bits.scala
Linear Supertypes
Reset, UInt, Num[UInt], Bits, ToBoolable, Element, Data, SourceInfoDoc, NamedComponent, HasId, InstanceId, AnyRef, Any
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. Bool
  2. Reset
  3. UInt
  4. Num
  5. Bits
  6. ToBoolable
  7. Element
  8. Data
  9. SourceInfoDoc
  10. NamedComponent
  11. HasId
  12. InstanceId
  13. AnyRef
  14. Any
Implicitly
  1. by DataEquality
  2. by toConnectableDefault
  3. by ConnectableDefault
  4. by any2stringadd
  5. by StringFormat
  6. by Ensuring
  7. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Instance Constructors

  1. new Bool()

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final macro def ##(that: Bits): UInt

    Concatenation operator

    Concatenation operator

    that

    a hardware component

    returns

    this Bool concatenated to the most significant end of that

    Definition Classes
    Bits
    Note

    The width of the returned Bool is width of this + width of that.

  3. final def ##: Int
    Definition Classes
    AnyRef → Any
  4. final macro def %(that: UInt): UInt

    Modulo operator

    Modulo operator

    that

    a Bool

    returns

    the remainder of this Bool divided by that

    Definition Classes
    Num
    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  5. final macro def &(that: Bool): Bool

    Bitwise and operator

    Bitwise and operator

    that

    a hardware Bool

    returns

    the bitwise and of this Bool and that

  6. final macro def &(that: UInt): UInt

    Bitwise and operator

    Bitwise and operator

    that

    a hardware Bool

    returns

    the bitwise and of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that).

  7. macro def &&(that: Bool): Bool

    Logical and operator

    Logical and operator

    that

    a hardware Bool

    returns

    the logical and of this Bool and that

    Note

    this is equivalent to Bool.&

  8. final macro def *(that: SInt): SInt

    Multiplication operator

    Multiplication operator

    that

    a hardware SInt

    returns

    the product of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  9. final macro def *(that: UInt): UInt

    Multiplication operator

    Multiplication operator

    that

    a Bool

    returns

    the product of this Bool and that

    Definition Classes
    Num
    Note

    The width of the returned Bool is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  10. final macro def +(that: UInt): UInt

    Addition operator

    Addition operator

    that

    a Bool

    returns

    the sum of this Bool and that

    Definition Classes
    Num
    Note

    The width of the returned Bool is max(width of this, width of that).

  11. final macro def +%(that: UInt): UInt

    Addition operator (constant width)

    Addition operator (constant width)

    that

    a hardware Bool

    returns

    the sum of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that).

  12. final macro def +&(that: UInt): UInt

    Addition operator (expanding width)

    Addition operator (expanding width)

    that

    a hardware Bool

    returns

    the sum of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that) + 1.

  13. final macro def -(that: UInt): UInt

    Subtraction operator

    Subtraction operator

    that

    a Bool

    returns

    the difference of this Bool less that

    Definition Classes
    Num
    Note

    The width of the returned Bool is max(width of this, width of that) + 1.

  14. final macro def -%(that: UInt): UInt

    Subtraction operator (constant width)

    Subtraction operator (constant width)

    that

    a hardware Bool

    returns

    the difference of this Bool less that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that).

  15. final macro def -&(that: UInt): UInt

    Subtraction operator (increasing width)

    Subtraction operator (increasing width)

    that

    a hardware Bool

    returns

    the difference of this Bool less that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that) + 1.

  16. def ->[B](y: B): (Bool, B)
    Implicit
    This member is added by an implicit conversion from Bool toArrowAssoc[Bool] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  17. final macro def /(that: UInt): UInt

    Division operator

    Division operator

    that

    a Bool

    returns

    the quotient of this Bool divided by that

    Definition Classes
    Num
    To do

    full rules

    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  18. final def :#=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  19. final def :#=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  20. final def :#=[S <: Data](lProducer: => S)(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  21. final def :<=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  22. final def :<=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  23. final def :<=[S <: Data](lProducer: => S)(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  24. final def :<>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  25. final def :<>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  26. final def :<>=[S <: Data](lProducer: => S)(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  27. final def :=(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "strong connect" operator.

    The "strong connect" operator.

    For chisel3._, this operator is mono-directioned; all sub-elements of this will be driven by sub-elements of that.

    • Equivalent to this :#= that

    For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=

    • Equivalent to this :<>= that
    that

    the Data to connect from

    Definition Classes
    Data
  28. final def :>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  29. final def :>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  30. final def :>=[S <: Data](lProducer: => S)(implicit evidence: =:=[Bool, S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    Implicit
    This member is added by an implicit conversion from Bool toConnectableDefault[Bool] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  31. final macro def <(that: UInt): Bool

    Less than operator

    Less than operator

    that

    a Bool

    returns

    a hardware Bool asserted if this Bool is less than that

    Definition Classes
    Num
  32. final macro def <<(that: UInt): Bits

    Dynamic left shift operator

    Dynamic left shift operator

    that

    a hardware component

    returns

    this Bool dynamically shifted left by that many places, shifting in zeros from the right

    Definition Classes
    Bits
    Note

    The width of the returned Bool is width of this + pow(2, width of that) - 1.

  33. final macro def <<(that: Int): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this Bool with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned Bool is width of this + that.

  34. final macro def <<(that: BigInt): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this Bool with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned Bool is width of this + that.

  35. final macro def <=(that: UInt): Bool

    Less than or equal to operator

    Less than or equal to operator

    that

    a Bool

    returns

    a hardware Bool asserted if this Bool is less than or equal to that

    Definition Classes
    Num
  36. final def <>(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    For chisel3._, uses the BiConnect algorithm; sub-elements of that may end up driving sub-elements of this

    • Complicated semantics, hard to write quickly, will likely be deprecated in the future

    For Chisel._, emits the FIRRTL.<- operator

    • Equivalent to this :<>= that without the restrictions that bundle field names and vector sizes must match
    that

    the Data to connect from

    Definition Classes
    Data
  37. final macro def =/=(that: UInt): Bool

    Dynamic not equals operator

    Dynamic not equals operator

    that

    a hardware Bool

    returns

    a hardware Bool asserted if this Bool is not equal to that

    Definition Classes
    UInt
  38. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  39. final macro def ===(that: UInt): Bool

    Dynamic equals operator

    Dynamic equals operator

    that

    a hardware Bool

    returns

    a hardware Bool asserted if this Bool is equal to that

    Definition Classes
    UInt
  40. final macro def >(that: UInt): Bool

    Greater than operator

    Greater than operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this Bool is greater than that

    Definition Classes
    Num
  41. final macro def >=(that: UInt): Bool

    Greater than or equal to operator

    Greater than or equal to operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this Bool is greather than or equal to that

    Definition Classes
    Num
  42. final macro def >>(that: UInt): Bits

    Dynamic right shift operator

    Dynamic right shift operator

    that

    a hardware component

    returns

    this Bool dynamically shifted right by the value of that component, inserting zeros into the most significant bits.

    Definition Classes
    Bits
    Note

    The width of the returned Bool is unchanged, i.e., the width of this.

  43. final macro def >>(that: Int): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this Bool with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned Bool is unchanged, i.e., the width of this.

  44. final macro def >>(that: BigInt): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this Bool with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned Bool is unchanged, i.e., the width of this.

  45. final macro def ^(that: Bool): Bool

    Bitwise exclusive or (xor) operator

    Bitwise exclusive or (xor) operator

    that

    a hardware Bool

    returns

    the bitwise xor of this Bool and that

  46. final macro def ^(that: UInt): UInt

    Bitwise exclusive or (xor) operator

    Bitwise exclusive or (xor) operator

    that

    a hardware Bool

    returns

    the bitwise xor of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that).

  47. final macro def abs: UInt

    Absolute value operator

    Absolute value operator

    returns

    a Bool with a value equal to the absolute value of this Bool

    Definition Classes
    Num
    Note

    The width of the returned Bool is unchanged, i.e., the width of this.

  48. final macro def andR: Bool

    And reduction operator

    And reduction operator

    returns

    a hardware Bool resulting from every bit of this Bool and'd together

    Definition Classes
    UInt
  49. final macro def apply(x: BigInt, y: BigInt): UInt

    Returns a subset of bits on this Bool from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this Bool from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  50. final macro def apply(x: Int, y: Int): UInt

    Returns a subset of bits on this Bool from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this Bool from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  51. final macro def apply(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  52. final macro def apply(x: Int): Bool

    Returns the specified bit on this Bool as a Bool, statically addressed.

    Returns the specified bit on this Bool as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  53. final macro def apply(x: BigInt): Bool

    Returns the specified bit on this Bool as a Bool, statically addressed.

    Returns the specified bit on this Bool as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  54. final macro def asAsyncReset: AsyncReset

    Casts this Bool to an AsyncReset

    Casts this Bool to an AsyncReset

    Definition Classes
    Reset
  55. final macro def asBool: Bool

    Casts this Bool to a Bool

    Casts this Bool to a Bool

    Definition Classes
    ToBoolable
    Note

    The width must be known and equal to 1

  56. final macro def asBools: Seq[Bool]

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Definition Classes
    Bits
  57. macro def asClock: Clock

    Reinterprets this Bool as a clock

  58. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  59. final macro def asSInt: SInt

    Reinterpret this Bool as an SInt

    Reinterpret this Bool as an SInt

    Definition Classes
    Bits
    Note

    The arithmetic value is not preserved if the most-significant bit is set. For example, a UInt of width 3 and value 7 (0b111) would become an SInt of width 3 and value -1.

  60. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  61. final macro def asUInt: UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  62. def autoSeed(name: String): Bool.this.type

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  63. val base: Bool
    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  64. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  65. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  66. final macro def bitSet(off: UInt, dat: Bool): UInt

    Conditionally set or clear a bit

    Conditionally set or clear a bit

    off

    a dynamic offset

    dat

    set if true, clear if false

    returns

    a hrdware Bool with bit off set or cleared based on the value of dat

    Definition Classes
    UInt
    Note

    The width of the returned Bool is unchanged, i.e., the width of this.

  67. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  68. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  69. def cloneType: Bool.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    BitsData
  70. def do_##(that: Bits)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  71. def do_%(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  72. def do_&(that: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  73. def do_&(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  74. def do_&&(that: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  75. def do_*(that: SInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): SInt

    Definition Classes
    UInt
  76. def do_*(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  77. def do_+(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  78. def do_+%(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  79. def do_+&(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  80. def do_-(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  81. def do_-%(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  82. def do_-&(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  83. def do_/(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  84. def do_<(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UIntNum
  85. def do_<<(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  86. def do_<<(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  87. def do_<<(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  88. def do_<=(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UIntNum
  89. def do_=/=(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  90. def do_===(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  91. def do_>(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UIntNum
  92. def do_>=(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UIntNum
  93. def do_>>(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  94. def do_>>(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  95. def do_>>(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntBits
  96. def do_^(that: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  97. def do_^(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  98. def do_abs(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntNum
  99. def do_andR(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  100. final def do_apply(x: BigInt, y: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  101. final def do_apply(x: Int, y: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  102. final def do_apply(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  103. final def do_apply(x: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  104. final def do_apply(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  105. def do_asAsyncReset(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): AsyncReset

    Definition Classes
    BoolReset
  106. final def do_asBool(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits → ToBoolable
  107. def do_asBools(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Seq[Bool]

    Definition Classes
    Bits
  108. def do_asClock(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Clock

  109. def do_asFixedPoint(binaryPoint: BinaryPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    UIntBits
  110. def do_asInterval(range: IntervalRange = IntervalRange.Unknown)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Interval
    Definition Classes
    UIntBits
  111. def do_asSInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): SInt

    Definition Classes
    UIntBits
  112. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  113. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UIntData
  114. def do_bitSet(off: UInt, dat: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  115. final def do_extract(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  116. final def do_extract(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  117. def do_head(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  118. def do_max(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Num
  119. def do_min(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Num
  120. def do_orR(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  121. def do_pad(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool.this.type

    Definition Classes
    Bits
  122. def do_rotateLeft(n: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt
    Definition Classes
    UInt
  123. def do_rotateLeft(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt
    Definition Classes
    UInt
  124. def do_rotateRight(n: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt
    Definition Classes
    UInt
  125. def do_rotateRight(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt
    Definition Classes
    UInt
  126. def do_tail(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  127. def do_unary_!(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  128. def do_unary_-(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  129. def do_unary_-%(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  130. def do_unary_~(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    BoolUIntBits
  131. def do_xorR(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    UInt
  132. def do_zext(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): SInt

    Definition Classes
    UInt
  133. def do_|(that: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  134. def do_|(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    UInt
  135. def do_||(that: Bool)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  136. def ensuring(cond: (Bool) => Boolean, msg: => Any): Bool
    Implicit
    This member is added by an implicit conversion from Bool toEnsuring[Bool] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  137. def ensuring(cond: (Bool) => Boolean): Bool
    Implicit
    This member is added by an implicit conversion from Bool toEnsuring[Bool] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  138. def ensuring(cond: Boolean, msg: => Any): Bool
    Implicit
    This member is added by an implicit conversion from Bool toEnsuring[Bool] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  139. def ensuring(cond: Boolean): Bool
    Implicit
    This member is added by an implicit conversion from Bool toEnsuring[Bool] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  140. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  141. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  142. final macro def extract(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  143. final macro def extract(x: BigInt): Bool

    Returns the specified bit on this Bool as a Bool, statically addressed.

    Returns the specified bit on this Bool as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  144. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  145. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  146. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  147. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  148. final macro def head(n: Int): UInt

    Head operator

    Head operator

    n

    the number of bits to take

    returns

    The n most significant bits of this Bool

    Definition Classes
    Bits
  149. def instanceName: String
    Definition Classes
    HasId → InstanceId
  150. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  151. def isLit: Boolean
    Definition Classes
    Data
  152. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  153. def litOption: Option[BigInt]

    If this is a literal that is representable as bits, returns the value as a BigInt.

    If this is a literal that is representable as bits, returns the value as a BigInt. If not a literal, or not representable as bits (for example, is or contains Analog), returns None.

    Definition Classes
    ElementData
  154. def litToBoolean: Boolean

    Convert to a scala.Boolean

  155. def litToBooleanOption: Option[Boolean]

    Convert to a scala.Option of scala.Boolean

  156. def litValue: BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  157. final macro def max(that: UInt): UInt

    Maximum operator

    Maximum operator

    that

    a Bool

    returns

    a Bool with a value equal to the minimum value of this Bool and that

    Definition Classes
    Num
    Note

    The width of the returned Bool is max(width of this, width of that).

  158. final macro def min(that: UInt): UInt

    Minimum operator

    Minimum operator

    that

    a hardware Bool

    returns

    a Bool with a value equal to the minimum value of this Bool and that

    Definition Classes
    Num
    Note

    The width of the returned Bool is max(width of this, width of that).

  159. def name: String
    Definition Classes
    Element
  160. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  161. def notSpecial: Boolean

    True if no members are waived or squeezed

    True if no members are waived or squeezed

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  162. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  163. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  164. final macro def orR: Bool

    Or reduction operator

    Or reduction operator

    returns

    a hardware Bool resulting from every bit of this Bool or'd together

    Definition Classes
    UInt
  165. final macro def pad(that: Int): Bool.this.type

    Pad operator

    Pad operator

    that

    the width to pad to

    returns

    this @coll zero padded up to width that. If that is less than the width of the original component, this method returns the original component.

    Definition Classes
    Bits
    Note

    For SInts only, this will do sign extension.

  166. def parentModName: String
    Definition Classes
    HasId → InstanceId
  167. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  168. def pathName: String
    Definition Classes
    HasId → InstanceId
  169. final macro def rotateLeft(that: UInt): UInt
    Definition Classes
    UInt
  170. final macro def rotateLeft(that: Int): UInt

    Circular shift to the left

    Circular shift to the left

    that

    number of bits to rotate

    returns

    UInt of same width rotated left n bits

    Definition Classes
    UInt
  171. final macro def rotateRight(that: UInt): UInt
    Definition Classes
    UInt
  172. final macro def rotateRight(that: Int): UInt

    Circular shift to the right

    Circular shift to the right

    that

    number of bits to rotate

    returns

    UInt of same width rotated right n bits

    Definition Classes
    UInt
  173. def squeeze(members: (Bool) => Data*): connectable.Connectable[Bool]

    Select members of base to squeeze

    Select members of base to squeeze

    members

    functions given the base return a member to squeeze

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  174. def squeeze: connectable.Connectable[Bool]

    Adds base to squeezes

    Adds base to squeezes

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  175. def squeezeAll: connectable.Connectable[Bool]

    Squeeze all members of base

    Squeeze all members of base

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  176. def squeezeEach[S <: Data](pf: PartialFunction[Data, Seq[Data]]): connectable.Connectable[Bool]

    Programmatically select members of base to squeeze

    Programmatically select members of base to squeeze

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  177. def suggestName(seed: => String): Bool.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  178. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  179. final macro def tail(n: Int): UInt

    Tail operator

    Tail operator

    n

    the number of bits to remove

    returns

    This Bool with the n most significant bits removed.

    Definition Classes
    Bits
  180. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  181. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  182. final def toPrintable: Printable

    Default print as Decimal

    Default print as Decimal

    Definition Classes
    BitsData
  183. def toString(): String
    Definition Classes
    BoolUInt → AnyRef → Any
  184. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  185. final macro def unary_!: Bool

    Unary not

    Unary not

    returns

    a hardware Bool asserted if this Bool equals zero

    Definition Classes
    UInt
  186. final macro def unary_-: UInt

    Unary negation (expanding width)

    Unary negation (expanding width)

    returns

    a Bool equal to zero minus this Bool

    Definition Classes
    UInt
    Note

    The width of the returned Bool is unchanged, i.e., width of this.

  187. final macro def unary_-%: UInt

    Unary negation (constant width)

    Unary negation (constant width)

    returns

    a Bool equal to zero minus this Bool shifted right by one.

    Definition Classes
    UInt
    Note

    The width of the returned Bool is unchanged, i.e., width of this.

  188. final macro def unary_~: Bits

    Bitwise inversion operator

    Bitwise inversion operator

    returns

    this Bool with each bit inverted

    Definition Classes
    Bits
  189. final def validateShiftAmount(x: Int)(implicit sourceInfo: SourceInfo): Int
    Attributes
    protected
    Definition Classes
    Bits
  190. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  191. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  192. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  193. def waive(members: (Bool) => Data*): connectable.Connectable[Bool]

    Select members of base to waive

    Select members of base to waive

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  194. def waiveAll: connectable.Connectable[Bool]

    Waive all members of base

    Waive all members of base

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  195. def waiveAllAs[S <: Data](implicit ev: <:<[Bool, S]): connectable.Connectable[S]

    Waive all members of base and static cast to a new type

    Waive all members of base and static cast to a new type

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  196. def waiveAs[S <: Data](members: (Bool) => Data*)(implicit ev: <:<[Bool, S]): connectable.Connectable[S]

    Select members of base to waive and static cast to a new type

    Select members of base to waive and static cast to a new type

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  197. def waiveEach[S <: Data](pf: PartialFunction[Data, Seq[Data]])(implicit ev: <:<[Bool, S]): connectable.Connectable[S]

    Programmatically select members of base to waive and static cast to a new type

    Programmatically select members of base to waive and static cast to a new type

    Implicit
    This member is added by an implicit conversion from Bool toConnectable[Bool] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  198. def widthKnown: Boolean
    Definition Classes
    Element
  199. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  200. final macro def xorR: Bool

    Exclusive or (xor) reduction operator

    Exclusive or (xor) reduction operator

    returns

    a hardware Bool resulting from every bit of this Bool xor'd together

    Definition Classes
    UInt
  201. final macro def zext: SInt

    Zero extend as SInt

    Zero extend as SInt

    returns

    an SInt equal to this Bool with an additional zero in its most significant bit

    Definition Classes
    UInt
    Note

    The width of the returned SInt is width of this + 1.

  202. final macro def |(that: Bool): Bool

    Bitwise or operator

    Bitwise or operator

    that

    a hardware Bool

    returns

    the bitwise or of this Bool and that

  203. final macro def |(that: UInt): UInt

    Bitwise or operator

    Bitwise or operator

    that

    a hardware Bool

    returns

    the bitwise or of this Bool and that

    Definition Classes
    UInt
    Note

    The width of the returned Bool is max(width of this, width of that).

  204. macro def ||(that: Bool): Bool

    Logical or operator

    Logical or operator

    that

    a hardware Bool

    returns

    the logical or of this Bool and that

    Note

    this is equivalent to Bool.|)

Shadowed Implicit Value Members

  1. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from Bool toany2stringadd[Bool] performed by method any2stringadd in scala.Predef.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (bool: any2stringadd[Bool]).+(other)
    Definition Classes
    any2stringadd
  2. def ===(rhs: Bool): Bool

    Dynamic recursive equality operator for generic Data

    Dynamic recursive equality operator for generic Data

    rhs

    a hardware Data to compare lhs to

    returns

    a hardware Bool asserted if lhs is equal to rhs

    Implicit
    This member is added by an implicit conversion from Bool toDataEquality[Bool] performed by method DataEquality in chisel3.Data.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (bool: DataEquality[Bool]).===(rhs)
    Definition Classes
    DataEquality
    Exceptions thrown

    ChiselException when lhs and rhs are different types during elaboration time

Deprecated Value Members

  1. final macro def asFixedPoint(that: BinaryPoint): FixedPoint

    Reinterpret this Bool as a FixedPoint.

    Reinterpret this Bool as a FixedPoint.

    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    The value is not guaranteed to be preserved. For example, a UInt of width 3 and value 7 (0b111) would become a FixedPoint with value -1. The interpretation of the number is also affected by the specified binary point. Caution is advised!

  2. final macro def asInterval(that: IntervalRange): Interval

    Reinterpret cast as a Interval.

    Reinterpret cast as a Interval.

    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    value not guaranteed to be preserved: for example, an UInt of width 3 and value 7 (0b111) would become a FixedInt with value -1, the interpretation of the number is also affected by the specified binary point. Caution advised

  3. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  4. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from Bool toStringFormat[Bool] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  5. def [B](y: B): (Bool, B)
    Implicit
    This member is added by an implicit conversion from Bool toArrowAssoc[Bool] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from Reset

Inherited from UInt

Inherited from Num[UInt]

Inherited from Bits

Inherited from ToBoolable

Inherited from Element

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from InstanceId

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion DataEquality fromBool to DataEquality[Bool]

Inherited by implicit conversion toConnectableDefault fromBool to Connectable[Bool]

Inherited by implicit conversion ConnectableDefault fromBool to ConnectableDefault[Bool]

Inherited by implicit conversion any2stringadd fromBool to any2stringadd[Bool]

Inherited by implicit conversion StringFormat fromBool to StringFormat[Bool]

Inherited by implicit conversion Ensuring fromBool to Ensuring[Bool]

Inherited by implicit conversion ArrowAssoc fromBool to ArrowAssoc[Bool]

Arithmetic

Arithmetic hardware operators

Bitwise

Bitwise hardware operators

Comparison

Comparison hardware operators

Logical

Logical hardware operators

connection

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.