Packages

sealed class FixedPoint extends Bits with Num[FixedPoint] with HasBinaryPoint

A sealed class representing a fixed point number that has a bit width and a binary point The width and binary point may be inferred.

IMPORTANT: The API provided here is experimental and may change in the future.

Annotations
@deprecated
Deprecated

(Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

Source
Bits.scala
Linear Supertypes
HasBinaryPoint, Num[FixedPoint], Bits, ToBoolable, Element, Data, SourceInfoDoc, NamedComponent, HasId, InstanceId, AnyRef, Any
Type Hierarchy
Ordering
  1. Grouped
  2. Alphabetic
  3. By Inheritance
Inherited
  1. FixedPoint
  2. HasBinaryPoint
  3. Num
  4. Bits
  5. ToBoolable
  6. Element
  7. Data
  8. SourceInfoDoc
  9. NamedComponent
  10. HasId
  11. InstanceId
  12. AnyRef
  13. Any
Implicitly
  1. by DataEquality
  2. by toConnectableDefault
  3. by ConnectableDefault
  4. by any2stringadd
  5. by StringFormat
  6. by Ensuring
  7. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Value Members

  1. final macro def !=(that: FixedPoint): Bool
  2. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  3. final macro def ##(that: Bits): UInt

    Concatenation operator

    Concatenation operator

    that

    a hardware component

    returns

    this FixedPoint concatenated to the most significant end of that

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + width of that.

  4. final def ##: Int
    Definition Classes
    AnyRef → Any
  5. final macro def %(that: FixedPoint): FixedPoint

    Modulo operator

    Modulo operator

    that

    a FixedPoint

    returns

    the remainder of this FixedPoint divided by that

    Definition Classes
    Num
    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  6. final macro def &(that: FixedPoint): FixedPoint

    Bitwise and operator

    Bitwise and operator

    that

    a hardware FixedPoint

    returns

    the bitwise and of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  7. final macro def *(that: SInt): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a hardware SInt

    returns

    the product of this FixedPoint and that

    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  8. final macro def *(that: UInt): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a hardware UInt

    returns

    the product of this FixedPoint and that

    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  9. final macro def *(that: FixedPoint): FixedPoint

    Multiplication operator

    Multiplication operator

    that

    a FixedPoint

    returns

    the product of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is width of this + width of that.

    ,

    This method generates a fully combinational multiplier which can result in significant cycle time and area costs.

  10. final macro def +(that: FixedPoint): FixedPoint

    Addition operator

    Addition operator

    that

    a FixedPoint

    returns

    the sum of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  11. final macro def +%(that: FixedPoint): FixedPoint

    Addition operator (constant width)

    Addition operator (constant width)

    that

    a hardware FixedPoint

    returns

    the sum of this FixedPoint and that shifted right by one

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  12. final macro def +&(that: FixedPoint): FixedPoint

    Addition operator (expanding width)

    Addition operator (expanding width)

    that

    a hardware FixedPoint

    returns

    the sum of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  13. final macro def -(that: FixedPoint): FixedPoint

    Subtraction operator

    Subtraction operator

    that

    a FixedPoint

    returns

    the difference of this FixedPoint less that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  14. final macro def -%(that: FixedPoint): FixedPoint

    Subtraction operator (constant width)

    Subtraction operator (constant width)

    that

    a hardware FixedPoint

    returns

    the difference of this FixedPoint less that shifted right by one

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  15. final macro def -&(that: FixedPoint): FixedPoint

    Subtraction operator (increasing width)

    Subtraction operator (increasing width)

    that

    a hardware FixedPoint

    returns

    the difference of this FixedPoint less that

    Note

    The width of the returned FixedPoint is max(width of this, width of that) + 1.

  16. def ->[B](y: B): (FixedPoint, B)
    Implicit
    This member is added by an implicit conversion from FixedPoint toArrowAssoc[FixedPoint] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  17. final macro def /(that: FixedPoint): FixedPoint

    Division operator

    Division operator

    that

    a FixedPoint

    returns

    the quotient of this FixedPoint divided by that

    Definition Classes
    Num
    To do

    full rules

    Note

    This method generates a fully combinational divider which can result in significant cycle time and area costs.

  18. final def :#=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  19. final def :#=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    producer

    the right-hand-side of the connection, all members will be driving, none will be driven-to

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  20. final def :#=[S <: Data](lProducer: => S)(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "mono-direction connection operator", aka the "coercion operator".

    The "mono-direction connection operator", aka the "coercion operator".

    For consumer :#= producer, all leaf members of consumer (regardless of relative flip) are driven by the corresponding leaf members of producer (regardless of relative flip)

    Identical to calling :<= and :>=, but swapping consumer/producer for :>= (order is irrelevant), e.g.: consumer :<= producer producer :>= consumer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '#' means to ignore flips, always drive from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes: - Connecting two util.DecoupledIO's would connect bits, valid, AND ready from producer to consumer (despite ready being flipped) - Functionally equivalent to chisel3.:=, but different than Chisel.:=

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  21. final def :<=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  22. final def :<=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    producer

    the right-hand-side of the connection; will always drive leaf connections, and never get driven by leaf connections ("aligned connection")

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  23. final def :<=[S <: Data](lProducer: => S)(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "aligned connection operator" between a producer and consumer.

    The "aligned connection operator" between a producer and consumer.

    For consumer :<= producer, each of consumer's leaf members which are aligned with respect to consumer are driven from the corresponding producer leaf member. Only consumer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect bits and valid from producer to consumer, but leave ready unconnected
    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  24. final def :<>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  25. final def :<>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    producer

    the right-hand-side of the connection

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  26. final def :<>=[S <: Data](lProducer: => S)(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    The "bi-direction connection operator", aka the "tur-duck-en operator"

    For consumer :<>= producer, both producer and consumer leafs could be driving or be driven-to. The consumer's members aligned w.r.t. consumer will be driven by corresponding members of producer; the producer's members flipped w.r.t. producer will be driven by corresponding members of consumer

    Identical to calling :<= and :>= in sequence (order is irrelevant), e.g. consumer :<= producer then consumer :>= producer

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '<' means to connect from producer to consumer
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs. - An additional type restriction is that all relative orientations of consumer and producer must match exactly.

    Additional notes:

    • Connecting two wires of util.DecoupledIO chisel type would connect bits and valid from producer to consumer, and ready from consumer to producer.
    • If the types of consumer and producer also have identical relative flips, then we can emit FIRRTL.<= as it is a stricter version of chisel3.:<>=
    • "turk-duck-en" is a dish where a turkey is stuffed with a duck, which is stuffed with a chicken; :<>= is a := stuffed with a <>
    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  27. final def :=(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "strong connect" operator.

    The "strong connect" operator.

    For chisel3._, this operator is mono-directioned; all sub-elements of this will be driven by sub-elements of that.

    • Equivalent to this :#= that

    For Chisel._, this operator connections bi-directionally via emitting the FIRRTL.<=

    • Equivalent to this :<>= that
    that

    the Data to connect from

    Definition Classes
    Data
  28. final def :>=(producer: DontCare.type)(implicit sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  29. final def :>=[S <: Data](producer: connectable.Connectable[S])(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    producer

    the right-hand-side of the connection; will always be driven by leaf connections, and never drive leaf connections ("flipped connection")

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  30. final def :>=[S <: Data](lProducer: => S)(implicit evidence: =:=[FixedPoint, S], sourceInfo: SourceInfo): Unit

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    The "flipped connection operator", or the "backpressure connection operator" between a producer and consumer.

    For consumer :>= producer, each of producer's leaf members which are flipped with respect to producer are driven from the corresponding consumer leaf member Only producer's leaf/branch alignments influence the connection.

    Symbol reference:

    • ':' is the consumer side
    • '=' is the producer side
    • '>' means to connect from consumer to producer

    The following restrictions apply:

    • The Chisel type of consumer and producer must be the "same shape" recursively:
      • All ground types are the same (UInt and UInt are same, SInt and UInt are not), but widths can be different (implicit trunction/padding occurs)
      • All vector types are the same length
      • All bundle types have the same member names, but the flips of members can be different between producer and consumer
    • The leaf members that are ultimately assigned to, must be assignable. This means they cannot be module inputs or instance outputs.

    Additional notes:

    • Connecting two util.DecoupledIO's would connect ready from consumer to producer, but leave bits and valid unconnected
    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectableDefault[FixedPoint] performed by method ConnectableDefault in chisel3.Data.
    Definition Classes
    ConnectableOpExtension
  31. final macro def <(that: FixedPoint): Bool

    Less than operator

    Less than operator

    that

    a FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is less than that

    Definition Classes
    Num
  32. final macro def <<(that: UInt): Bits

    Dynamic left shift operator

    Dynamic left shift operator

    that

    a hardware component

    returns

    this FixedPoint dynamically shifted left by that many places, shifting in zeros from the right

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + pow(2, width of that) - 1.

  33. final macro def <<(that: Int): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + that.

  34. final macro def <<(that: BigInt): Bits

    Static left shift operator

    Static left shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many zeros concatenated to its least significant end

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is width of this + that.

  35. final macro def <=(that: FixedPoint): Bool

    Less than or equal to operator

    Less than or equal to operator

    that

    a FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is less than or equal to that

    Definition Classes
    Num
  36. final def <>(that: => Data)(implicit sourceInfo: SourceInfo, connectionCompileOptions: CompileOptions): Unit

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    The "bulk connect operator", assigning elements in this Vec from elements in a Vec.

    For chisel3._, uses the BiConnect algorithm; sub-elements of that may end up driving sub-elements of this

    • Complicated semantics, hard to write quickly, will likely be deprecated in the future

    For Chisel._, emits the FIRRTL.<- operator

    • Equivalent to this :<>= that without the restrictions that bundle field names and vector sizes must match
    that

    the Data to connect from

    Definition Classes
    Data
  37. final macro def =/=(that: FixedPoint): Bool

    Dynamic not equals operator

    Dynamic not equals operator

    that

    a hardware FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is not equal to that

  38. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  39. final macro def ===(that: FixedPoint): Bool

    Dynamic equals operator

    Dynamic equals operator

    that

    a hardware FixedPoint

    returns

    a hardware Bool asserted if this FixedPoint is equal to that

  40. final macro def >(that: FixedPoint): Bool

    Greater than operator

    Greater than operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this FixedPoint is greater than that

    Definition Classes
    Num
  41. final macro def >=(that: FixedPoint): Bool

    Greater than or equal to operator

    Greater than or equal to operator

    that

    a hardware component

    returns

    a hardware Bool asserted if this FixedPoint is greather than or equal to that

    Definition Classes
    Num
  42. final macro def >>(that: UInt): Bits

    Dynamic right shift operator

    Dynamic right shift operator

    that

    a hardware component

    returns

    this FixedPoint dynamically shifted right by the value of that component, inserting zeros into the most significant bits.

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  43. final macro def >>(that: Int): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  44. final macro def >>(that: BigInt): Bits

    Static right shift operator

    Static right shift operator

    that

    an amount to shift by

    returns

    this FixedPoint with that many least significant bits truncated

    Definition Classes
    Bits
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  45. final macro def ^(that: FixedPoint): FixedPoint

    Bitwise exclusive or (xor) operator

    Bitwise exclusive or (xor) operator

    that

    a hardware FixedPoint

    returns

    the bitwise xor of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  46. final macro def abs: FixedPoint

    Absolute value operator

    Absolute value operator

    returns

    a FixedPoint with a value equal to the absolute value of this FixedPoint

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is unchanged, i.e., the width of this.

  47. final macro def apply(x: BigInt, y: BigInt): UInt

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  48. final macro def apply(x: Int, y: Int): UInt

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    Returns a subset of bits on this FixedPoint from hi to lo (inclusive), statically addressed.

    x

    the high bit

    y

    the low bit

    returns

    a hardware component contain the requested bits

    Definition Classes
    Bits
    Example:
    1. myBits = 0x5 = 0b101
      myBits(1,0) => 0b01  // extracts the two least significant bits
  49. final macro def apply(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  50. final macro def apply(x: Int): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  51. final macro def apply(x: BigInt): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  52. final macro def asBool: Bool

    Casts this FixedPoint to a Bool

    Casts this FixedPoint to a Bool

    Definition Classes
    ToBoolable
    Note

    The width must be known and equal to 1

  53. final macro def asBools: Seq[Bool]

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Returns the contents of this wire as a scala.collection.Seq of Bool.

    Definition Classes
    Bits
  54. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  55. final macro def asSInt: SInt

    Reinterpret this FixedPoint as an SInt

    Reinterpret this FixedPoint as an SInt

    Definition Classes
    Bits
    Note

    The arithmetic value is not preserved if the most-significant bit is set. For example, a UInt of width 3 and value 7 (0b111) would become an SInt of width 3 and value -1.

  56. macro def asTypeOf[T <: Data](that: T): T

    Does a reinterpret cast of the bits in this node into the format that provides.

    Does a reinterpret cast of the bits in this node into the format that provides. Returns a new Wire of that type. Does not modify existing nodes.

    x.asTypeOf(that) performs the inverse operation of x := that.toBits.

    Definition Classes
    Data
    Note

    bit widths are NOT checked, may pad or drop bits from input

    ,

    that should have known widths

  57. final macro def asUInt: UInt

    Reinterpret cast to UInt.

    Reinterpret cast to UInt.

    Definition Classes
    Data
    Note

    value not guaranteed to be preserved: for example, a SInt of width 3 and value -1 (0b111) would become an UInt with value 7

    ,

    Aggregates are recursively packed with the first element appearing in the least-significant bits of the result.

  58. def autoSeed(name: String): FixedPoint.this.type

    Takes the last seed suggested.

    Takes the last seed suggested. Multiple calls to this function will take the last given seed, unless this HasId is a module port (see overridden method in Data.scala).

    If the final computed name conflicts with the final name of another signal, the final name may get uniquified by appending a digit at the end of the name.

    Is a lower priority than suggestName, in that regardless of whether autoSeed was called, suggestName will always take precedence if it was called.

    returns

    this object

    Definition Classes
    Data → HasId
  59. val base: FixedPoint
    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  60. val binaryPoint: BinaryPoint
    Definition Classes
    FixedPointHasBinaryPoint
  61. def binding: Option[Binding]
    Attributes
    protected[chisel3]
    Definition Classes
    Data
  62. def binding_=(target: Binding): Unit
    Attributes
    protected
    Definition Classes
    Data
  63. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  64. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  65. def cloneType: FixedPoint.this.type

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    Internal API; Chisel users should look at chisel3.chiselTypeOf(...).

    cloneType must be defined for any Chisel object extending Data. It is responsible for constructing a basic copy of the object being cloned.

    returns

    a copy of the object.

    Definition Classes
    BitsData
  66. def connect(that: Data)(implicit sourceInfo: SourceInfo, connectCompileOptions: CompileOptions): Unit
    Definition Classes
    FixedPointData
  67. def do_!=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  68. def do_##(that: Bits)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  69. def do_%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  70. def do_&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  71. def do_*(that: SInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  72. def do_*(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  73. def do_*(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  74. def do_+(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    add (default - no growth) operator

    add (default - no growth) operator

    Definition Classes
    FixedPointNum
  75. def do_+%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  76. def do_+&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  77. def do_-(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    subtract (default - no growth) operator

    subtract (default - no growth) operator

    Definition Classes
    FixedPointNum
  78. def do_-%(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  79. def do_-&(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  80. def do_/(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  81. def do_<(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  82. def do_<<(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  83. def do_<<(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  84. def do_<<(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  85. def do_<=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  86. def do_=/=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  87. def do_===(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

  88. def do_>(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  89. def do_>=(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    FixedPointNum
  90. def do_>>(that: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  91. def do_>>(that: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  92. def do_>>(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  93. def do_^(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  94. def do_abs(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointNum
  95. final def do_apply(x: BigInt, y: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  96. final def do_apply(x: Int, y: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  97. final def do_apply(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  98. final def do_apply(x: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  99. final def do_apply(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  100. final def do_asBool(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits → ToBoolable
  101. def do_asBools(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Seq[Bool]

    Definition Classes
    Bits
  102. def do_asFixedPoint(binaryPoint: BinaryPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  103. def do_asInterval(range: IntervalRange = IntervalRange.Unknown)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Interval
    Definition Classes
    FixedPointBits
  104. def do_asInterval(binaryPoint: BinaryPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Interval
  105. def do_asSInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): SInt

    Definition Classes
    FixedPointBits
  106. def do_asTypeOf[T <: Data](that: T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    Definition Classes
    Data
  107. def do_asUInt(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    FixedPointData
  108. final def do_extract(x: UInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  109. final def do_extract(x: BigInt)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Bool

    Definition Classes
    Bits
  110. def do_head(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  111. def do_max(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    Num
  112. def do_min(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    Num
  113. def do_pad(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint.this.type

    Definition Classes
    Bits
  114. def do_setBinaryPoint(that: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  115. def do_tail(n: Int)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): UInt

    Definition Classes
    Bits
  116. def do_unary_-(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  117. def do_unary_-%(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  118. def do_unary_~(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

    Definition Classes
    FixedPointBits
  119. def do_|(that: FixedPoint)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): FixedPoint

  120. def ensuring(cond: (FixedPoint) => Boolean, msg: => Any): FixedPoint
    Implicit
    This member is added by an implicit conversion from FixedPoint toEnsuring[FixedPoint] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  121. def ensuring(cond: (FixedPoint) => Boolean): FixedPoint
    Implicit
    This member is added by an implicit conversion from FixedPoint toEnsuring[FixedPoint] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  122. def ensuring(cond: Boolean, msg: => Any): FixedPoint
    Implicit
    This member is added by an implicit conversion from FixedPoint toEnsuring[FixedPoint] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  123. def ensuring(cond: Boolean): FixedPoint
    Implicit
    This member is added by an implicit conversion from FixedPoint toEnsuring[FixedPoint] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  124. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  125. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  126. final macro def extract(x: UInt): Bool

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    Returns the specified bit on this wire as a Bool, dynamically addressed.

    x

    a hardware component whose value will be used for dynamic addressing

    returns

    the specified bit

    Definition Classes
    Bits
  127. final macro def extract(x: BigInt): Bool

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    Returns the specified bit on this FixedPoint as a Bool, statically addressed.

    x

    an index

    returns

    the specified bit

    Definition Classes
    Bits
  128. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  129. final def getWidth: Int

    Returns the width, in bits, if currently known.

    Returns the width, in bits, if currently known.

    Definition Classes
    Data
  130. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  131. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  132. final macro def head(n: Int): UInt

    Head operator

    Head operator

    n

    the number of bits to take

    returns

    The n most significant bits of this FixedPoint

    Definition Classes
    Bits
  133. def instanceName: String
    Definition Classes
    HasId → InstanceId
  134. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  135. def isLit: Boolean
    Definition Classes
    Data
  136. final def isWidthKnown: Boolean

    Returns whether the width is currently known.

    Returns whether the width is currently known.

    Definition Classes
    Data
  137. def litOption: Option[BigInt]

    If this is a literal that is representable as bits, returns the value as a BigInt.

    If this is a literal that is representable as bits, returns the value as a BigInt. If not a literal, or not representable as bits (for example, is or contains Analog), returns None.

    Definition Classes
    ElementData
  138. def litValue: BigInt

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Returns the literal value if this is a literal that is representable as bits, otherwise crashes.

    Definition Classes
    Data
  139. final macro def max(that: FixedPoint): FixedPoint

    Maximum operator

    Maximum operator

    that

    a FixedPoint

    returns

    a FixedPoint with a value equal to the minimum value of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  140. final macro def min(that: FixedPoint): FixedPoint

    Minimum operator

    Minimum operator

    that

    a hardware FixedPoint

    returns

    a FixedPoint with a value equal to the minimum value of this FixedPoint and that

    Definition Classes
    Num
    Note

    The width of the returned FixedPoint is max(width of this, width of that).

  141. def name: String
    Definition Classes
    Element
  142. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  143. def notSpecial: Boolean

    True if no members are waived or squeezed

    True if no members are waived or squeezed

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  144. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  145. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  146. final macro def pad(that: Int): FixedPoint.this.type

    Pad operator

    Pad operator

    that

    the width to pad to

    returns

    this @coll zero padded up to width that. If that is less than the width of the original component, this method returns the original component.

    Definition Classes
    Bits
    Note

    For SInts only, this will do sign extension.

  147. def parentModName: String
    Definition Classes
    HasId → InstanceId
  148. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  149. def pathName: String
    Definition Classes
    HasId → InstanceId
  150. final macro def setBinaryPoint(that: Int): FixedPoint
  151. def squeeze(members: (FixedPoint) => Data*): connectable.Connectable[FixedPoint]

    Select members of base to squeeze

    Select members of base to squeeze

    members

    functions given the base return a member to squeeze

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  152. def squeeze: connectable.Connectable[FixedPoint]

    Adds base to squeezes

    Adds base to squeezes

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  153. def squeezeAll: connectable.Connectable[FixedPoint]

    Squeeze all members of base

    Squeeze all members of base

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  154. def squeezeEach[S <: Data](pf: PartialFunction[Data, Seq[Data]]): connectable.Connectable[FixedPoint]

    Programmatically select members of base to squeeze

    Programmatically select members of base to squeeze

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  155. def suggestName(seed: => String): FixedPoint.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  156. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  157. final macro def tail(n: Int): UInt

    Tail operator

    Tail operator

    n

    the number of bits to remove

    returns

    This FixedPoint with the n most significant bits removed.

    Definition Classes
    Bits
  158. final def toAbsoluteTarget: ReferenceTarget

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Returns a FIRRTL IsMember that refers to the absolute path to this object in the elaborated hardware graph

    Definition Classes
    NamedComponent → InstanceId
  159. final def toNamed: ComponentName

    Returns a FIRRTL ComponentName that references this object

    Returns a FIRRTL ComponentName that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  160. final def toPrintable: Printable

    Default print as Decimal

    Default print as Decimal

    Definition Classes
    BitsData
  161. def toString(): String
    Definition Classes
    FixedPoint → AnyRef → Any
  162. final def toTarget: ReferenceTarget

    Returns a FIRRTL ReferenceTarget that references this object

    Returns a FIRRTL ReferenceTarget that references this object

    Definition Classes
    NamedComponent → InstanceId
    Note

    Should not be called until circuit elaboration is complete

  163. final macro def unary_-: FixedPoint

    Unary negation (expanding width)

    Unary negation (expanding width)

    returns

    a hardware FixedPoint equal to zero minus this FixedPoint

    Note

    The width of the returned FixedPoint is width of this + 1.

  164. final macro def unary_-%: FixedPoint

    Unary negation (constant width)

    Unary negation (constant width)

    returns

    a hardware FixedPoint equal to zero minus this shifted right by one

    Note

    The width of the returned FixedPoint is unchanged, i.e., width of this.

  165. final macro def unary_~: Bits

    Bitwise inversion operator

    Bitwise inversion operator

    returns

    this FixedPoint with each bit inverted

    Definition Classes
    Bits
  166. final def validateShiftAmount(x: Int)(implicit sourceInfo: SourceInfo): Int
    Attributes
    protected
    Definition Classes
    Bits
  167. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  168. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  169. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  170. def waive(members: (FixedPoint) => Data*): connectable.Connectable[FixedPoint]

    Select members of base to waive

    Select members of base to waive

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  171. def waiveAll: connectable.Connectable[FixedPoint]

    Waive all members of base

    Waive all members of base

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  172. def waiveAllAs[S <: Data](implicit ev: <:<[FixedPoint, S]): connectable.Connectable[S]

    Waive all members of base and static cast to a new type

    Waive all members of base and static cast to a new type

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  173. def waiveAs[S <: Data](members: (FixedPoint) => Data*)(implicit ev: <:<[FixedPoint, S]): connectable.Connectable[S]

    Select members of base to waive and static cast to a new type

    Select members of base to waive and static cast to a new type

    members

    functions given the base return a member to waive

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  174. def waiveEach[S <: Data](pf: PartialFunction[Data, Seq[Data]])(implicit ev: <:<[FixedPoint, S]): connectable.Connectable[S]

    Programmatically select members of base to waive and static cast to a new type

    Programmatically select members of base to waive and static cast to a new type

    Implicit
    This member is added by an implicit conversion from FixedPoint toConnectable[FixedPoint] performed by method toConnectableDefault in chisel3.Data.
    Definition Classes
    Connectable
  175. def widthKnown: Boolean
    Definition Classes
    Element
  176. final def widthOption: Option[Int]

    Returns Some(width) if the width is known, else None.

    Returns Some(width) if the width is known, else None.

    Definition Classes
    Data
  177. final macro def |(that: FixedPoint): FixedPoint

    Bitwise or operator

    Bitwise or operator

    that

    a hardware FixedPoint

    returns

    the bitwise or of this FixedPoint and that

    Note

    The width of the returned FixedPoint is max(width of this, width of that).

Shadowed Implicit Value Members

  1. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from FixedPoint toany2stringadd[FixedPoint] performed by method any2stringadd in scala.Predef.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (fixedPoint: any2stringadd[FixedPoint]).+(other)
    Definition Classes
    any2stringadd
  2. def ===(rhs: FixedPoint): Bool

    Dynamic recursive equality operator for generic Data

    Dynamic recursive equality operator for generic Data

    rhs

    a hardware Data to compare lhs to

    returns

    a hardware Bool asserted if lhs is equal to rhs

    Implicit
    This member is added by an implicit conversion from FixedPoint toDataEquality[FixedPoint] performed by method DataEquality in chisel3.Data.
    Shadowing
    This implicitly inherited member is shadowed by one or more members in this class.
    To access this member you can use a type ascription:
    (fixedPoint: DataEquality[FixedPoint]).===(rhs)
    Definition Classes
    DataEquality
    Exceptions thrown

    ChiselException when lhs and rhs are different types during elaboration time

Deprecated Value Members

  1. final macro def asFixedPoint(that: BinaryPoint): FixedPoint

    Reinterpret this FixedPoint as a FixedPoint.

    Reinterpret this FixedPoint as a FixedPoint.

    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    The value is not guaranteed to be preserved. For example, a UInt of width 3 and value 7 (0b111) would become a FixedPoint with value -1. The interpretation of the number is also affected by the specified binary point. Caution is advised!

  2. final macro def asInterval(that: IntervalRange): Interval

    Reinterpret cast as a Interval.

    Reinterpret cast as a Interval.

    Definition Classes
    Bits
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    value not guaranteed to be preserved: for example, an UInt of width 3 and value 7 (0b111) would become a FixedInt with value -1, the interpretation of the number is also affected by the specified binary point. Caution advised

  3. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  4. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from FixedPoint toStringFormat[FixedPoint] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  5. def litToBigDecimal: BigDecimal

    Return the BigDecimal value of this instance assuming it is a literal (convenience method)

    Return the BigDecimal value of this instance assuming it is a literal (convenience method)

    Definition Classes
    HasBinaryPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

  6. def litToBigDecimalOption: Option[BigDecimal]

    Return the BigDecimal value of this instance if it is a Literal

    Return the BigDecimal value of this instance if it is a Literal

    Definition Classes
    HasBinaryPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    this method may throw an exception if the literal value won't fit in a BigDecimal

  7. def litToDouble: Double

    Return the double value of this instance assuming it is a literal (convenience method)

    Return the double value of this instance assuming it is a literal (convenience method)

    Definition Classes
    HasBinaryPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

  8. def litToDoubleOption: Option[Double]

    Return the Double value of this instance if it is a Literal

    Return the Double value of this instance if it is a Literal

    Definition Classes
    HasBinaryPoint
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.6) this feature will not be supported as part of the migration to the MLIR-based FIRRTL Compiler (MFC). For more information about this migration, please see the Chisel ROADMAP.md.

    Note

    this method may throw an exception if the literal value won't fit in a Double

  9. def [B](y: B): (FixedPoint, B)
    Implicit
    This member is added by an implicit conversion from FixedPoint toArrowAssoc[FixedPoint] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from HasBinaryPoint

Inherited from Num[FixedPoint]

Inherited from Bits

Inherited from ToBoolable

Inherited from Element

Inherited from Data

Inherited from SourceInfoDoc

Inherited from NamedComponent

Inherited from HasId

Inherited from InstanceId

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion DataEquality fromFixedPoint to DataEquality[FixedPoint]

Inherited by implicit conversion toConnectableDefault fromFixedPoint to Connectable[FixedPoint]

Inherited by implicit conversion ConnectableDefault fromFixedPoint to ConnectableDefault[FixedPoint]

Inherited by implicit conversion any2stringadd fromFixedPoint to any2stringadd[FixedPoint]

Inherited by implicit conversion StringFormat fromFixedPoint to StringFormat[FixedPoint]

Inherited by implicit conversion Ensuring fromFixedPoint to Ensuring[FixedPoint]

Inherited by implicit conversion ArrowAssoc fromFixedPoint to ArrowAssoc[FixedPoint]

Arithmetic

Arithmetic hardware operators

Bitwise

Bitwise hardware operators

Comparison

Comparison hardware operators

connection

Ungrouped

SourceInfoTransformMacro

These internal methods are not part of the public-facing API!

The equivalent public-facing methods do not have the do_ prefix or have the same name. Use and look at the documentation for those. If you want left shift, use <<, not do_<<. If you want conversion to a Seq of Bools look at the asBools above, not the one below. Users can safely ignore every method in this group!

🐉🐉🐉 Here be dragons... 🐉🐉🐉

These do_X methods are used to enable both implicit passing of SourceInfo and chisel3.CompileOptions while also supporting chained apply methods. In effect all "normal" methods that you, as a user, will use in your designs, are converted to their "hidden", do_*, via macro transformations. Without using macros here, only one of the above wanted behaviors is allowed (implicit passing and chained applies)---the compiler interprets a chained apply as an explicit 'implicit' argument and will throw type errors.

The "normal", public-facing methods then take no SourceInfo. However, a macro transforms this public-facing method into a call to an internal, hidden do_* that takes an explicit SourceInfo by inserting an implicitly[SourceInfo] as the explicit argument.