p

treadle

package treadle

Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. treadle
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. All

Type Members

  1. case class BlackBoxFactoriesAnnotation(blackBoxFactories: Seq[ScalaBlackBoxFactory]) extends NoTargetAnnotation with TreadleOption with Product with Serializable
  2. case class ClockInfoAnnotation(clockInfoSeq: Seq[ClockInfo] = Seq(ClockInfo())) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Sets one or more clocks including their frequencies and phase

  3. abstract class Command extends AnyRef
  4. case class DataStorePlugInAnnotation(name: String, getPlugin: (ExecutionEngine) ⇒ DataStorePlugin) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Using this annotation allows external users of a TreadleTester to supply their own custom treadle.executable.DataStorePlugins.

    Using this annotation allows external users of a TreadleTester to supply their own custom treadle.executable.DataStorePlugins. See that code for examples of use.

    name

    A unique name for this plugin

    getPlugin

    A function that returns a DataStorePlugin subclass

    Note

    this annotation cannot be generated from the command line

  5. case class MemoryToVCD(specifier: String) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Controls whether changes to memory locations are written to vcd output

    Controls whether changes to memory locations are written to vcd output

    specifier

    controls which memories and which locations of those memories are logged to vcd output When not present not memories are logged "all" log all values at all locations of all memories "mem1:all" log all values at all locations for memory mem1 "mem1:0-4" log values at locations 0-4 for memory mem1 "mem1:b0-b100" log values at locations 0-4 but show addresses in binary for memory mem1 "mem1:h0-hff" log values at locations 0-255 but show addresses in hex for memory mem1 "mem1:o0-o377" log values at locations 0-255 but show addresses in octal for memory mem1 This annotation may occur more than once in order to specify multiple memories

  6. case class PlusArgsAnnotation(plusArgs: Seq[String]) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Sets verilog plus args that will be passed to black boxes

  7. case class RandomSeedAnnotation(seed: Long = 0L) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Sets the seed for treadle's private random number generator

  8. case class ResetNameAnnotation(symbolNames: String = "") extends NoTargetAnnotation with TreadleOption with Product with Serializable

    used with treadle's default reset operations

  9. case class RollBackBuffersAnnotation(rollbackBufferDepth: Int = TreadleDefaults.RollbackBuffers) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Sets the number of rollback buffers in simulator, useful to see why wires have their values

  10. trait ScalaBlackBox extends AnyRef

    This is the template for writing Scala functions that implement the behaviour of a black box.

    This is the template for writing Scala functions that implement the behaviour of a black box. Implementing classes should add internal variables to hold any state information.

  11. abstract class ScalaBlackBoxFactory extends AnyRef

    For each instantiation of an ExtModule the engine needs a separate instance of a BlackBoxImplementation.

    For each instantiation of an ExtModule the engine needs a separate instance of a BlackBoxImplementation. This factory provides it.

    Example:
    1. class ExampleBBFactory extends BlackBoxFactory {
        override def createInstance(instanceName: String, blackBoxName: String): Option[BlackBoxImplementation] = {
          instanceName match {
            case "bb1" => Some(add(new BB1Impl))
            case "bb2" => Some(add(new BB2Impl))
            case "bb3" => Some(add(new BB3Impl))
            case _ => throw Exception(s"ExampleBBBFactory does not know how to create " + instanceName)
          }
        }
      }
  12. case class SymbolsToWatchAnnotation(symbolNames: Seq[String] = Seq.empty) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Sets a list of symbols that will be rendered during execution

  13. case class TreadleCircuitAnnotation(circuit: Circuit) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    The circuit used to build a TreadleTester

    The circuit used to build a TreadleTester

    circuit

    a firrtl ast

  14. case class TreadleCircuitStateAnnotation(state: CircuitState) extends NoTargetAnnotation with Product with Serializable

    used to pass parsed firrtl to the TreadleTester

    used to pass parsed firrtl to the TreadleTester

    state

    the state to be passed along

  15. class TreadleLibrary extends RegisteredLibrary

    Constructs this as a registered library that will be auto-detected by projects who have a dependency on Treadle

  16. sealed trait TreadleOption extends Unserializable
  17. class TreadleRepl extends AnyRef

    Considered by many to be the world's best Treadle Repl

  18. class TreadleTester extends AnyRef

    Works a lot like the chisel classic tester compiles a firrtl input string and allows poke, peek, expect and step

    Works a lot like the chisel classic tester compiles a firrtl input string and allows poke, peek, expect and step

    pokes invalidate the underlying circuit peek, expect and step, recompute (re-validate) the circuit before executing

    Important note: port names in LoFirrtl have replaced dot notation with underscore notation so that io.a.b must be referenced as io_a_b

  19. case class TreadleTesterAnnotation(tester: TreadleTester) extends NoTargetAnnotation with TreadleOption with Product with Serializable

    Used to pass a tester on to a test harness

    Used to pass a tester on to a test harness

    tester

    The TreadleTester to be passed on

  20. case class VcdReplayEventsToRun(count: Int) extends NoTargetAnnotation with VcdReplayTesterOptions with Product with Serializable
  21. case class VcdReplaySkipEvents(count: Int) extends NoTargetAnnotation with VcdReplayTesterOptions with Product with Serializable
  22. class VcdReplayTester extends LazyLogging

    This tester runs a VCD file against a circuit expressed in a firrtl file.

    This tester runs a VCD file against a circuit expressed in a firrtl file. The VCD file should have been produced by running a test harness against the circuit. This test can be used to generate circuit behavior while running symbolic or concolic testing. It can also be used to determine if later changes to a circuit have changed since some original correct **golden** run of the circuit For example use the main below to run the VcdAdder files contained in the src/test/resources directory

    sbt 'runMain treadle.VcdReplayTester -fs src/test/resources/VcdAdder.fir -vcd src/test/resources/VcdAdder.vcd'
  23. trait VcdReplayTesterCli extends AnyRef
  24. sealed trait VcdReplayTesterOptions extends Unserializable
  25. class VcdReplayTesterStage extends Stage
  26. case class VcdReplayVcdFile(fileName: String) extends NoTargetAnnotation with VcdReplayTesterOptions with Product with Serializable
  27. case class TreadleFirrtlFormHint(form: Any) extends NoTargetAnnotation with Product with Serializable

    Provides an input form hint to treadle to know how to best handle the input it receives

    Provides an input form hint to treadle to know how to best handle the input it receives

    form

    the input form

    Annotations
    @deprecated
    Deprecated

    (Since version 1.3.x) Remove references, this has no effect

Value Members

  1. val Big0: BigInt
  2. val Big1: BigInt
  3. val BitsRequiredOverflowSizeBigInt: BigInt
  4. val DangerShiftSize: Int
  5. def bigIntBitsToDouble(bigInt: BigInt): Double
  6. def boolToBigInt(condition: Boolean): BigInt
  7. def boolToInt(condition: Boolean): Int
  8. def ceilingLog2(x: Int): Int
  9. def computeBits(n: BigInt): Int

    Utility function that computes bits required for a number

    Utility function that computes bits required for a number

    n

    number of interest

  10. def doubleToBigIntBits(double: Double): BigInt
  11. def extremaOfSIntOfWidth(width: Int): (BigInt, BigInt)

    computes the smallest and largest values that will fit in an SInt

    computes the smallest and largest values that will fit in an SInt

    width

    width of SInt

    returns

    tuple(minVale, maxValue)

  12. def extremaOfUIntOfWidth(width: Int): (BigInt, BigInt)

    computes the smallest and largest values that will fit in a UInt

    computes the smallest and largest values that will fit in a UInt

    width

    width of SInt

    returns

    tuple(minVale, maxValue)

  13. def makeRandom(tpe: Type): BigInt
  14. val random: Random
  15. def randomBigInt(width: Int): BigInt
  16. def requiredBitsForSInt(num: BigInt): Int

    return the smallest number of bits required to hold the given number in an SInt Note: positive numbers will get one minimum width one higher than would be required for a UInt

    return the smallest number of bits required to hold the given number in an SInt Note: positive numbers will get one minimum width one higher than would be required for a UInt

    num

    number to find width for

    returns

    minimum required bits for an SInt

  17. def requiredBitsForUInt(num: BigInt): Int

    return the smallest number of bits required to hold the given number in an UInt

    return the smallest number of bits required to hold the given number in an UInt

    num

    number to find width for

    returns

    minimum required bits for an SInt

  18. def typeToWidth(tpe: Type): Int
  19. def widthToInt(width: Width): Int
  20. object AllowCyclesAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to allow cycles

  21. object BuildInfo extends Product with Serializable

    This object was generated by sbt-buildinfo.

  22. object CallResetAtStartupAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tell treadle to call it's own internal reset at startup.

    Tell treadle to call it's own internal reset at startup. This is typically handled by the unit test framework and not needed for users

  23. object ClockInfoAnnotation extends HasShellOptions with Product with Serializable
  24. object DontRunLoweringCompilerLoadAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to not run its own lowering pass on firrtl input (not recommended)

  25. object EnableCoverageAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Controls whether coverage information will be gathered or not during the execution of a test.

  26. object MemoryToVCD extends HasShellOptions with Product with Serializable
  27. object MemoryUsageRegression

    This regression demonstrates that a bad initial setting has been fixed the default rollback buffer of 10 meant that large memories caused considerable slowing as buffers were copied.

  28. object PlusArgsAnnotation extends HasShellOptions with Product with Serializable
  29. object PrefixPrintfWithWallTime extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to prefix printf strings with a wall time

  30. object RandomSeedAnnotation extends HasShellOptions with Serializable
  31. object RandomizeAtStartupAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to Randomize circuit at startup

  32. object Regression
  33. object ResetNameAnnotation extends HasShellOptions with Product with Serializable
  34. object RollBackBuffersAnnotation extends HasShellOptions with Product with Serializable
  35. object SaveFirrtlAtLoadAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to show the low firrtl it is starting out with

  36. object ShowFirrtlAtLoadAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to show the low firrtl it is starting out with

  37. object SymbolsToWatchAnnotation extends HasShellOptions with Product with Serializable
  38. object TreadleDefaults
  39. object TreadleFirrtlFile extends HasShellOptions

    Factory for FirrtlFileAnnotation annotation, this is an alias for Firrtl Cli

  40. object TreadleFirrtlString extends HasShellOptions

    Factory for FirrtlSourceAnnotation, this is an alias for FirrtlCli

  41. object TreadleRepl
  42. object TreadleRocketBlackBoxes extends HasShellOptions

    Adds the treadle blackboxes for rocket black box factory

  43. object TreadleTester
  44. object ValidIfIsRandomAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to present random value when validIf's condition is off

  45. object VcdReplayEventsToRun extends HasShellOptions with Product with Serializable
  46. object VcdReplayFirrtlSource extends NoTargetAnnotation with VcdReplayTesterOptions with HasShellOptions with Product with Serializable

    makes a more convenient way of specifying firrtl source

  47. object VcdReplaySkipEvents extends HasShellOptions with Product with Serializable
  48. object VcdReplayTestAliasedWires extends NoTargetAnnotation with VcdReplayTesterOptions with HasShellOptions with Product with Serializable
  49. object VcdReplayTester extends StageMain
  50. object VcdReplayVcdFile extends HasShellOptions with Product with Serializable
  51. object VcdShowUnderScoredAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to include _T_* and _GEN_* wires in VCD output

  52. object VerboseAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to execute verbosely

  53. object WriteCoverageCSVAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to write coverage report in CSV format after simulation

  54. object WriteVcdAnnotation extends NoTargetAnnotation with TreadleOption with HasShellOptions with Product with Serializable

    Tells treadle to write a vcd file during simulation

Deprecated Value Members

  1. object TreadleFirrtlFormHint extends HasShellOptions with Serializable
    Annotations
    @deprecated
    Deprecated

    (Since version 1.3.x) Remove references, this has no effect

Inherited from AnyRef

Inherited from Any

Ungrouped