Packages

abstract class Module extends RawModule

Abstract base class for Modules, which behave much like Verilog modules. These may contain both logic and state which are written in the Module body (constructor). This abstract base class includes an implicit clock and reset.

Source
Module.scala
Note

Module instantiations must be wrapped in a Module() call.

Type Hierarchy
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Module
  2. RawModule
  3. BaseModule
  4. IsInstantiable
  5. HasId
  6. InstanceId
  7. AnyRef
  8. Any
Implicitly
  1. by BaseModuleExtensions
  2. by IsInstantiableExtensions
  3. by any2stringadd
  4. by StringFormat
  5. by Ensuring
  6. by ArrowAssoc
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Instance Constructors

  1. new Module()(implicit moduleCompileOptions: CompileOptions)

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. def +(other: String): String
    Implicit
    This member is added by an implicit conversion from Module toany2stringadd[Module] performed by method any2stringadd in scala.Predef.
    Definition Classes
    any2stringadd
  4. def ->[B](y: B): (Module, B)
    Implicit
    This member is added by an implicit conversion from Module toArrowAssoc[Module] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @inline()
  5. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  6. def IO[T <: Data](iodef: => T)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): T

    This must wrap the datatype used to set the io field of any Module.

    This must wrap the datatype used to set the io field of any Module. i.e. All concrete modules must have defined io in this form: [lazy] val io[: io type] = IO(...[: io type])

    Items in [] are optional.

    The granted iodef must be a chisel type and not be bound to hardware.

    Also registers a Data as a port, also performing bindings. Cannot be called once ports are requested (so that all calls to ports will return the same information). Internal API.

    TODO(twigg): Specifically walk the Data definition to call out which nodes are problematic.

    Attributes
    protected
    Definition Classes
    BaseModule
  7. def _bindIoInPlace(iodef: Data)(implicit sourceInfo: SourceInfo, compileOptions: CompileOptions): Unit

    Chisel2 code didn't require the IO(...) wrapper and would assign a Chisel type directly to io, then do operations on it.

    Chisel2 code didn't require the IO(...) wrapper and would assign a Chisel type directly to io, then do operations on it. This binds a Chisel type in-place (mutably) as an IO.

    Attributes
    protected
    Definition Classes
    BaseModule
  8. var _closed: Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  9. def _compatAutoWrapPorts(): Unit

    Compatibility function.

    Compatibility function. Allows Chisel2 code which had ports without the IO wrapper to compile under Bindings checks. Does nothing in non-compatibility mode.

    Should NOT be used elsewhere. This API will NOT last.

    TODO: remove this, perhaps by removing Bindings checks in compatibility mode.

    Definition Classes
    BaseModule
  10. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  11. def circuitName: String
    Attributes
    protected
    Definition Classes
    HasId
  12. final val clock: Clock
  13. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native() @HotSpotIntrinsicCandidate()
  14. val compileOptions: CompileOptions
    Definition Classes
    RawModule
  15. def desiredName: String

    The desired name of this module (which will be used in generated FIRRTL IR or Verilog).

    The desired name of this module (which will be used in generated FIRRTL IR or Verilog).

    The name of a module approximates the behavior of the Java Reflection getSimpleName method https://docs.oracle.com/javase/8/docs/api/java/lang/Class.html#getSimpleName-- with some modifications:

    - Anonymous modules will get an "_Anon" tag - Modules defined in functions will use their class name and not a numeric name

    Definition Classes
    BaseModule
    Note

    If you want a custom or parametric name, override this method.

  16. def ensuring(cond: (Module) => Boolean, msg: => Any): Module
    Implicit
    This member is added by an implicit conversion from Module toEnsuring[Module] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  17. def ensuring(cond: (Module) => Boolean): Module
    Implicit
    This member is added by an implicit conversion from Module toEnsuring[Module] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  18. def ensuring(cond: Boolean, msg: => Any): Module
    Implicit
    This member is added by an implicit conversion from Module toEnsuring[Module] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  19. def ensuring(cond: Boolean): Module
    Implicit
    This member is added by an implicit conversion from Module toEnsuring[Module] performed by method Ensuring in scala.Predef.
    Definition Classes
    Ensuring
  20. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  21. def equals(that: Any): Boolean
    Definition Classes
    HasId → AnyRef → Any
  22. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  23. def getCommands: Seq[Command]
    Attributes
    protected
    Definition Classes
    RawModule
  24. def getModulePorts: Seq[Data]
    Attributes
    protected[chisel3]
    Definition Classes
    BaseModule
  25. def hasSeed: Boolean

    returns

    Whether either autoName or suggestName has been called

    Definition Classes
    HasId
  26. def hashCode(): Int
    Definition Classes
    HasId → AnyRef → Any
  27. def instanceName: String

    Signal name (for simulation).

    Signal name (for simulation).

    Definition Classes
    BaseModule → HasId → InstanceId
  28. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  29. final lazy val name: String

    Legalized name of this module.

    Legalized name of this module.

    Definition Classes
    BaseModule
  30. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  31. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  32. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native() @HotSpotIntrinsicCandidate()
  33. def parentModName: String
    Definition Classes
    HasId → InstanceId
  34. def parentPathName: String
    Definition Classes
    HasId → InstanceId
  35. def pathName: String
    Definition Classes
    HasId → InstanceId
  36. def portsContains(elem: Data): Boolean
    Attributes
    protected
    Definition Classes
    BaseModule
  37. def portsSize: Int
    Attributes
    protected
    Definition Classes
    BaseModule
  38. final val reset: Reset
  39. def suggestName(seed: => String): Module.this.type

    Takes the first seed suggested.

    Takes the first seed suggested. Multiple calls to this function will be ignored. If the final computed name conflicts with another name, it may get uniquified by appending a digit at the end.

    Is a higher priority than autoSeed, in that regardless of whether autoSeed was called, suggestName will always take precedence.

    seed

    The seed for the name of this component

    returns

    this object

    Definition Classes
    HasId
  40. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  41. final def toAbsoluteTarget: IsModule

    Returns a FIRRTL ModuleTarget that references this object

    Returns a FIRRTL ModuleTarget that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  42. def toDefinition: Definition[Module]
    Implicit
    This member is added by an implicit conversion from Module toBaseModuleExtensions[Module] performed by method BaseModuleExtensions in chisel3.experimental.BaseModule.
    Definition Classes
    BaseModuleExtensions
  43. final def toNamed: ModuleName

    Returns a FIRRTL ModuleName that references this object

    Returns a FIRRTL ModuleName that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  44. def toString(): String
    Definition Classes
    AnyRef → Any
  45. final def toTarget: ModuleTarget

    Returns a FIRRTL ModuleTarget that references this object

    Returns a FIRRTL ModuleTarget that references this object

    Definition Classes
    BaseModuleInstanceId
    Note

    Should not be called until circuit elaboration is complete

  46. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  47. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()
  48. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])

Shadowed Implicit Value Members

  1. def toInstance: Instance[Module]
    Implicit
    This member is added by an implicit conversion from Module toBaseModuleExtensions[Module] performed by method BaseModuleExtensions in chisel3.experimental.BaseModule.
    Shadowing
    This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
    To access this member you can use a type ascription:
    (module: BaseModuleExtensions[Module]).toInstance
    Definition Classes
    BaseModuleExtensions
  2. def toInstance: Instance[Module]
    Implicit
    This member is added by an implicit conversion from Module toIsInstantiableExtensions[Module] performed by method IsInstantiableExtensions in chisel3.experimental.hierarchy.core.IsInstantiable.
    Shadowing
    This implicitly inherited member is ambiguous. One or more implicitly inherited members have similar signatures, so calling this member may produce an ambiguous implicit conversion compiler error.
    To access this member you can use a type ascription:
    (module: IsInstantiableExtensions[Module]).toInstance
    Definition Classes
    IsInstantiableExtensions

Deprecated Value Members

  1. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable]) @Deprecated
    Deprecated
  2. def formatted(fmtstr: String): String
    Implicit
    This member is added by an implicit conversion from Module toStringFormat[Module] performed by method StringFormat in scala.Predef.
    Definition Classes
    StringFormat
    Annotations
    @deprecated @inline()
    Deprecated

    (Since version 2.12.16) Use formatString.format(value) instead of value.formatted(formatString), or use the f"" string interpolator. In Java 15 and later, formatted resolves to the new method in String which has reversed parameters.

  3. def override_clock: Option[Clock]
    Attributes
    protected
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  4. def override_clock_=(rhs: Option[Clock]): Unit
    Attributes
    protected
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  5. def override_reset: Option[Bool]
    Attributes
    protected
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  6. def override_reset_=(rhs: Option[Bool]): Unit
    Attributes
    protected
    Annotations
    @deprecated
    Deprecated

    (Since version Chisel 3.5) Use withClock at Module instantiation

  7. def [B](y: B): (Module, B)
    Implicit
    This member is added by an implicit conversion from Module toArrowAssoc[Module] performed by method ArrowAssoc in scala.Predef.
    Definition Classes
    ArrowAssoc
    Annotations
    @deprecated
    Deprecated

    (Since version 2.13.0) Use -> instead. If you still wish to display it as one character, consider using a font with programming ligatures such as Fira Code.

Inherited from RawModule

Inherited from BaseModule

Inherited from IsInstantiable

Inherited from HasId

Inherited from InstanceId

Inherited from AnyRef

Inherited from Any

Inherited by implicit conversion BaseModuleExtensions fromModule to BaseModuleExtensions[Module]

Inherited by implicit conversion IsInstantiableExtensions fromModule to IsInstantiableExtensions[Module]

Inherited by implicit conversion any2stringadd fromModule to any2stringadd[Module]

Inherited by implicit conversion StringFormat fromModule to StringFormat[Module]

Inherited by implicit conversion Ensuring fromModule to Ensuring[Module]

Inherited by implicit conversion ArrowAssoc fromModule to ArrowAssoc[Module]

Ungrouped